摩尔精英招聘 - 专注全球半导体职业机会
搜索
新闻首页
行业新闻
求职指导
活动
摩尔半导体指数
FPGA
中国初创公司在AI芯片(IP)领域的机会
半导体行业观察:短短几个月,AI芯片领域变化不断,新闻不断,有必要再更新一下这个讨论。
AI芯片
AI
FPGA
Cadence
2017-06-18 13:44
3354
Xilinx叫板Nvidia,FPGA在AI处理上比GPU更快速
半导体行业观察:NVIDIA 虽凭借通用GPU(GPGPU)登上人工智慧(AI)芯片一哥位置,但竞争对手早已在一旁虎视眈眈
AI
FPGA
Xilinx
NVIDIA
GPU
2017-06-14 09:10
4570
第一届全国大学生集成电路创新创业大赛圆满落幕
半导体行业观察:2017年6月4日上午,由国家工业和信息化部主办的“第一届全国大学生集成电路创新创业大赛颁奖典礼暨闭幕式”在位于浦口区的南京工业大学举行
FPGA
集成电路
SoC
2017-06-12 08:40
原创
3485
美国政府正在重新审查Lattice出售案,中国又无功而返?
半导体行业观察:美国政府正在衡量半导体芯片公司Lattice的出售是否会对美国的国家安全产生影响。
FPGA
2017-06-12 08:40
2139
这家FPGA公司今年同比增长700%,属于他们的时代将到来?
半导体行业观察:曾有专家提出谁将会是Xilinx的挑战者,给FPGA产业带来竞争,共同进步。现在看来这个竞争者会是Achronix。
FPGA
AI
eFPGA
Achronix
2017-06-21 09:20
原创
3890
智慧云中的FPGA
半导体行业观察:随着Deep Learning的爆发,这种使用FPGA做各种加速的需求越来越强劲。
FPGA
赛灵思
CPU
2017-06-28 09:01
4903
Lattice半导体执意要卖身中国,CEO三次上书美国政府
半导体行业观察:2016 年上半年,紫光在公开市场收购美国莱迪思半导体(Lattice)股权6.07%,但此案一直没有获得美国监管单位同意
FPGA
Lattice
半导体 集成电路
2017-06-28 09:01
2629
彭博社:为卖身中资,Lattice半导体考虑求助特朗普
半导体行业观察:一位知情人士透露,莱迪思半导体正考虑寻求美国总统唐纳德·特朗普批准中国支持的私募公司对它的收购计划。此前,一个国家安全委员会多次否决这项收购。
FPGA
Lattice
2017-08-31 08:57
3375
CFIUS禁止Lattice卖给中国背景基金,海外并购谋发展走不通?
半导体行业观察:由中国政府支持的美国私募基金公司Canyon Bridge Capital Partners,在2016 年11 月份宣布,准备以13 亿美元收购莱迪思半导体之后
Lattice
CFIUS
FPGA
2017-09-05 09:03
2439
[原创] FPGA将无处不在
半导体行业观察:在越来越多的设计和越来越多的市场中,我们都能看到 FPGA 的身影;而且随着它们被纳入越来越多的系统中,它们本身也在变得越来越复杂。
FPGA
2017-09-11 08:46
原创
4281
川普正式签署命令,禁止中资收购莱迪斯半导体
半导体行业观察:川普总统在9月13号星期三下午约四点时发布命令,禁止一家与中国政府有关的中资公司并购美国的莱迪斯半导体公司
FPGA
2017-09-14 09:13
2081
FPGA异构计算芯片的特点
半导体行业观察:随着互联网用户的快速增长,数据体量的急剧膨胀,数据中心对计算的需求也在迅猛上涨。各类应用对计算的需求已远远超出了传统CPU处理器的能力所及。
CPU
FPGA
2017-10-24 09:03
4343
FPGA的新商机:芯片即服务
半导体行业观察:云端服务几乎改变了每一种业务;通常这种趋势迫使硬件供应商去用一种「服务」模式来供应产品(以大幅降低的速度)
FPGA
2017-10-26 08:53
2812
[原创] FPGA在下一代网络架构中的重要意义
半导体行业观察:NFV和虚拟化技术是近几年很火爆的话题,深入探讨NFV的意义大概需要几十篇博客,市面上近几年也慢慢出现了不少书籍单独介绍NFV的相关内容。
FPGA
虚拟网络架构
2017-10-29 12:38
原创
3361
[原创] 国产FPGA的一匹黑马:高云半导体推新品对标Xilinx
但去到一些高端的应用中,外国的限制加上安全考虑,如何打造自有的FPGA产品,就成为了中国半导体人的心头之痛。
FPGA
RISC-V
2017-11-03 11:01
原创
5706
新的互连使eFPGA密集和便携
创新减少eFPGAs所需的金属层数。
FPGA
Flex Logix
eFPGA
2017-11-16 11:47
2662
2019年,台积电将赛灵思新产品ACAP中采用7纳米芯片
赛灵思针对大数据和人工智能应用推出了一款名为自适应计算加速平台(ACAP)的新产品 - 高度集成的多核异构计算平台。据FPGA芯片供应商称,新产品系列将在台湾积体电路制造公司(TSMC)采用7纳米工艺技术开发,并将在今年晚些时候推出,客户发货将于2019年开始。
FPGA
Big Data
2018-03-21 11:30
2279
[原创] FPGA的新选择
半导体行业观察:多种趋势正在将FPGA推向两条截然不同的发展道路。
FPGA
2018-06-08 08:20
原创
2591
[原创] FPGA的新变化
半导体行业观察:随着FPGA进入高安全性、任务关键型市场,它们也面临着与标准SoC相同的问题
FPGA
2018-07-02 08:28
原创
2363
[原创] FPGA在汽车领域的野心
半导体行业观察:随着电子的不断发展,FPGA在汽车中的应用越来越广泛,在汽车的更多系统中扮演着越来越重要的角色。
FPGA
汽车
2018-07-23 08:46
原创
1062
[原创] AI是FPGA企业苦等的杀手级应用吗?
半导体行业观察:FPGA已经有许多可以存在数十年的应用领域,即使它们永远不是最佳的设计解决方案。
FPGA
2018-08-06 08:38
原创
1776
论中国FPGA的发展之路,高云半导体、京微齐力这么说
近年来,随着大数据的发展,计算能力的提升,人工智能迎来了新一轮的爆发。此时,FPGA的市场格局也发生了一些改变,由于可编程灵活性高、...
FPGA
2018-08-06 16:15
3079
为什么工程师一定要学会用FPGA?
对当今的硬件工程师来讲,FPGA的应用是同PCB设计一样必须掌握的技能,因此在过去三年里我们摩尔吧的硬禾实战营最重要的技能培训就是融合了PCB设计的FPGA编程和系统应用,因为在数字化逻辑支撑整个现代科技的今天,不会用FPGA也就意味着无法用数字逻辑的思维方式来解决问题。
FPGA
硬件工程师
2018-08-06 14:36
2546
学用FPGA的几大误区
上次的文章中提到了,FPGA对于硬件工程师以及高校师生来说是非常重要的一项技能,其重要性甚至要超过PCB设计。
FPGA
2018-08-06 14:30
2862
[原创] 国产FPGA发展现状
半导体行业观察:随着时间的发展,这类产品也变得日益重要,市场更是可期,很多厂商也开始投入其中,国内也跃跃欲试,且已经出现了不少的玩家。
FPGA
赛灵思
2018-08-08 09:08
原创
6672
Quartus Prime Pro设计软件:用于支持英特尔下一代FPGA
Quartus Prime Pro设计软件:用于支持英特尔下一代高度集成的大容量FPGA- Altera(现在已属英特尔公司)今天发布新的产品版Quartus Prime Pro设计软件,进一步提高了FPGA设计性能和设计团队的效率。Quartus Prime Pro软件设计用于支持英特尔下一代高度集成的大容量FPGA,这将推动云计算、数据中心、物联网及其连网等领域的创新。内置在最新版软件中的功能前所未有的缩短了编译时间,提供通用设计输入方法,简化了知识产权(IP)的集成,从而加速了大规模FPGA设计流程。
Altera
FPGA
2018-08-09 17:36
1803
Mouser供货Terasic开发套件,专为Altera SoC FPGA而设
Mouser供货Terasic开发套件,专为Altera SoC FPGA而设- 贸泽电子(Mouser Electronics) 即日起开始分销Terasic Technologies的Atlas-SoC和DE0-Nano-SoC开发套件。Terasic Technologies是Altera的重要设计服务网络合作伙伴。Atlas-SoC开发套件专为嵌入式软件开发人员而设计,其功能在于启动Linux、运行网络和虚拟网络计算(VNC)服务器,并提供参考设计、开发工具和教程,以加速工程师片上系统软件开发的学习曲线。DE0-Nano-SoC开发套件专为硬件开发人员而设计,通过参考设计和教程,引导开发人员完成现场可编程门阵列(FPGA)、硬核处理器系统(HPS)和系统设计。
FPGA
贸泽电子
2018-08-09 17:00
1762
简谈FPGA/Verilog中inout端口使用方法
FPGA
2018-08-13 16:58
3490
FPGA学习系列:27. VGA驱动设计
VGA
FPGA
2018-08-13 17:00
1957
FPGA在音乐科技及医疗照护领域的应用
FPGA的应用领域包罗万象,我们今天来看看在音乐科技领域及医疗照护的智能巧思。
FPGA
2018-08-14 22:33
2386
以FPGA和CPLD为基础的降低汽车电子物料成本的解决方案
以FPGA和CPLD为基础的灵活可行的降低汽车电子物料成本解决方案- 汽车制造商们坚持不懈地改进车内舒适性、安全性、便利性、工作效能和娱乐性,反过来,这些努力又推动了各种车内数字技术的应用。
FPGA
2018-08-14 22:24
1640
国产FPGA厂商强势发力 紫光同创大幅增资
作为紫光集团从“芯”到“云”战略中芯片板块的重点发展方向,深圳市紫光同创电子有限公司的发展得到了紫光集团的重点扶持。近日,在紫光集团的大力扶持下,国内FPGA龙头企业--紫光同创成功增资扩股,据了解,注册资金达到3亿元人民币,总投资已达到10亿元人民币。紫光同创表示,此次增资为公司加速28nm系列新产品的研发,同时也为现有产品批产提供充足的流动资金。
FPGA
紫光集团
2018-08-16 20:47
3941
Lattice ECP3/ECP5器件中的SerDes/PCS简要介绍
Lattice ECP3,ECP5(ECP5-5G)的SerDes/PCS结构基本相同,区别主要在于ECP5将两个SerDes/PCS通道合并到一个叫做DCU的模块中去。ECP5的每一个DCU均包含一个AUX通道(以及TxPLL),而ECP3每四个SerDes/PCS通道才包含一个AUX通道。ECP3和ECP5的结构图分别如下图所示
SerDes
FPGA
2018-08-16 18:01
2703
Altera发布能够让FPGA和SoC支持高达56 Gbps数据速率的收发器技术
Altera发布能够让FPGA和SoC支持高达56 Gbps数据速率的收发器技术- Altera现在是Intel公司旗下的可编程解决方案事业部(PSG),今天发布能够让Stratix 10 FPGA和SoC支持高达56 Gbps数据速率的收发器技术。Altera今天演示了FPGA业界首次实现的支持双模56Gbps四电平脉冲振幅调制(PAM-4)以及30Gbps非归零(NRZ)收发器技术。该收发器技术大幅度提高了一个收发器通道的带宽,使得设备制造商能够灵活的开发未来系统。Stratix 10 FPGA和SoC经过优化,支持数据中心基础设施和电信设备所使用的铜背板和光互联传输极高的数据量。
FPGA
收发器
2018-08-20 17:03
1558
美高森美综合设计软件工具最新版本Libero SoC Ve
美高森美综合设计软件工具最新版本Libero SoC Version 11.6,主要用于FPGA产品开发- 美高森美公司(Microsemi) 宣布发布用于其最新现场可编程门阵列(FPGA)产品开发的综合设计软件工具的最新版本Libero SoC Version 11.6。除了新增针对用于航空航天市场高速信号处理的耐辐射FPGA RTG4 系列器件的支持外,Libero SoC v 11.6还提供了用于美高森美获奖 SmartFusion2 SoC FPGA和 IGLOO2 FPGA器件的增强功能。
FPGA
美高森美
2018-08-20 11:34
2763
[原创] FPGA在大数据时代的机遇与挑战
希望通过本文进一步梳理和分析峰会的技术细节,以及从以下几个方面探讨FPGA在大数据时代的各种机遇和挑战
FPGA
2018-08-20 08:35
原创
2887
FPGA是后摩尔时代的解药吗?
今天我们能够在工作生活中使用快速、便捷的云服务,要得益于微软研究院新体验与新技术部杰出工程师Doug Burger博士和他的同行们在计算机架构领域做出的贡献。
FPGA
2018-08-22 12:10
2145
Stratix 10 FPGA和SoC体系结构和产品细节公布,将云
Stratix 10 FPGA和SoC体系结构和产品细节公布,将云时代的网络通信技术推向了又一个巅峰- Altera公司今天发布其Stratix 10 FPGA和SoC体系结构和产品细节,这一下一代高端可编程逻辑器件在性能、集成度、密度和安全特性方面实现全面突破,势必将云时代的网络通信技术推向又一个巅峰。
FPGA
2018-08-23 13:50
2358
美高森美联手Sibridge推出了FPGA器件的高速IP内核
美高森美联手Sibridge推出了一系列瞄准FPGA器件的高速IP内核- 通过增添了Sibridge Technologies成为认可的CompanionCore供应商,这项举措扩展了美高森美与其先前的合作。CompanionCore 计划提供精选的广泛可综合IP内核,这些内核均直接由美高森美合作伙伴授权许可、支持和维护。
FPGA
美高森美
2018-08-25 14:02
1598
超300亿晶体管——3倍于最高端服务器CPU
因为财力和风险原因,这些厂商目前以小规模芯片为主,很贴近国内客户,只是因为时间关系开发工具还不成熟,对这些厂商来说,FPGA硬件堆砌起来不难,麻烦的是软件,只要给资金给时间,国产FPGA大爆发是必然的。
FPGA
国产芯片
2018-08-25 11:27
2431
Altera的Spectra-Q引擎可以令基于FPGA和SoC的设计快马
Altera的Spectra-Q引擎可以令基于FPGA和SoC的设计快马加鞭- Altera公司为其业界领先、成熟可靠的Quartus II软件引入功能超级强劲的Spectra-Q引擎,以提高下一代可编程器件的设计效能,缩短产品面市时间。Spectra-Q引擎的新功能创纪录地缩短了编译时间,提供通用、快速跟踪设计输入和置入式IP集成特性,延续了Altera Quartus II软件的领先优势,令基于FPGA和SoC的设计快马加鞭。现在,客户可以在更高抽象层面上进行设计并实现,极大地缩短了设计时间,解决了下一代设计面临的挑战。
FPGA
2018-08-26 12:52
1968
中国FPGA人才仅为美国十分之一 改善现状需要十年
在FPGA人才数量上,记者在采访中获知,Intel收购Altera之后,可编程事业部(PSG)达到3000多人,Xilinx目前从业人员3000-4000人,Lattice和Microsemi也有上千人。美国共计拥有近1万名FPGA行业人才。国产FPGA人才储备严重不足,因起步较晚,人才储备仅是美国人才数量的1/10,且在高端人才方面极为缺乏。
FPGA
FPGA
FPGA
FPGA
2018-08-27 20:55
4329
莱迪思证明移动应用的FPGA非常适合用于量产
莱迪思证明移动应用的FPGA非常适合用于量产- 在莱迪思看来,随着智能功能从云端引入到网络边缘领域,移动FPGA对多个市场都产生了影响。很多网络边缘设备要求小尺寸、低功耗和价格合理。因此,莱迪思最开始专为移动应用优化的产品正越来越多地被应用于智慧城市、智能汽车、智能家居和智能工厂领域中的网络边缘智能和互连解决方案,用于实现车牌识别、语音侦测、人脸检测和跟踪等功能。
FPGA
莱迪思
2018-08-30 19:25
1509
FPGA该如何应对ASIC的大爆发?
FPGA该如何应对ASIC的大爆发?- 有人认为,除了人才短缺、开发难度较大,相比未来的批量化量产的ASIC芯片,FPGA在成本、性能、功耗方面仍有很多不足。这是否意味着,在ASIC大爆发之际,FPGA将沦为其“过渡”品的命运?
FPGA
芯片
2018-08-30 19:20
2581
Mentor高等级逻辑综合(HLS)流程助力FPGA
Achronix的Speedcore系列eFPGA可得到Catapult HLS的全面支持Catapult HLS为FPGA流程提供集成化设计与开发环境,率先支持5G无线应用基于现...
FPGA
eFPGA
2018-08-30 17:20
1883
ASIC大爆发,FPGA将沦为被取代的命运?
有人认为,除了人才短缺、开发难度较大,相比未来的批量化量产的ASIC芯片,FPGA在成本、性能、功耗方面仍有很多不足。这是否意味着,在ASIC大爆发之际,FPGA将沦为其“过渡”品的命运?
FPGA
芯片
2018-09-03 13:50
1710
[原创] 系统和芯片架构正在走向异构世界
计算领域正在发生变化,这推动了对更多异构计算的需求,这些计算可以适应现有的工作量,而无需更改任何底层基础架构。
Xilinx
FPGA
2018-09-03 08:25
原创
1828
英特尔:FPGA将加速今日新型态数据中心的主流应用
在这个强调智能与联网的时代,可编程逻辑栅阵列 (FPGA)已经成为一个重要且不可或缺的元件。以全球500亿个联网设备,一年所产生的数据量...
FPGA
2018-09-05 14:33
2125
混合型转换器利于降低EMI和MOSFET应力的软开关特
然而,在许多新型应用中,比如48 V直接转换应用,IBC中没有必要进行隔离,因为上游48 V或54 V输入已经与危险的市电隔离。在许多应用中,要使用非隔离IBC,就需要采用一个热插拔前端器件。结果,许多新型应用在设计时即集成了非隔离IBC,这样不但可以大幅降低解决方案的尺寸和成本,同时还能提高转换效率和设计灵活性。典型的分布式供电架构如图1所示。
FPGA
ASIC
2018-09-21 10:16
1788
英特尔扩充 FPGA 可编程加速卡产品组合
全新特性:英特尔今天推出了采用英特尔® Stratix® 10 SX FPGA(英特尔超强大的 FPGA)的全新英特尔® 可编程加速卡 (PAC),以扩充...
FPGA
2018-09-26 20:02
2192
Lattice sensAI™为终端AI应用提供更灵活的性能和功耗优化
灵活的毫瓦FPGA解决方案实现高精度CNN;全新人员侦测和手势检测参考设计,性能与功耗平衡更优适用于iCE40 UltraPlus FPGA的全新轻量化CNN...
CNN加速器
FPGA
2018-10-09 18:59
1836
助力开发人员拓展设计边界,Arm与赛灵思强强联合
• Arm和赛灵思(Xilinx)强强联合,将业界最强大、最成熟的嵌入式生态系统优势与赛灵思FPGA的灵活性相结合• 增强版Arm DesignStart项...
赛灵思
FPGA
ARM
2018-10-09 18:53
2254
NI推出首款支持直接RF采样的FlexRIO收发器
NI (美国国家仪器公司,National Instruments,简称NI) 是一家以软件为中心的平台供应商,致力于帮助自动化测试和测量用户加速系统开发...
FPGA
FlexRIO收发器
2018-10-09 18:46
1498
[原创] 着眼AI科技,Xilinx发布两款灵活应变的平台
2018年10月16日,Xilinx开发者大会在北京举行,Xilinx首席执行官 Victor Peng通过本次大会向我们展示了AI时代FPGA的发展前景。
Xilinx
FPGA
AI
2018-10-21 11:10
原创
1843
通过LPM_ROM模块和VHDL语言为核心设计多功能信号发
以FPGA芯片为载体, 通过QuartusII 的LPM_ROM 模块和VHDL 语言为核心设计一个多功 能信号发生器,根据输入信号的选择可以输出递增锯齿波、递减锯齿波、三角波、阶梯波和方波等5 种信号,通过QuartusII 软件进行波形仿真、定时分析,仿真正确后,利用实验板提供的资源,下载到芯片中实现预定功能。 信号发生器又称为波形发生器, 是一种常用的信号源,广泛应用于电子电路、通信、控制和教学实验等领域。它是科研及工程实践中最重要的仪器之一, 以往
信号发生器
FPGA
2018-10-23 17:01
2261
高云半导体推出GW1NZ系列FPGA芯片
国内领先的可编程逻辑器件供应商广东高云半导体科技股份有限公司(以下简称:高云半导体),宣布推出小封装、超低功耗的FPGA家族新成员GW1N...
FPGA
高云半导体
2018-10-29 19:48
1969
高云半导体推出小封装、超低功耗的GW1NZ系列FP
国内领先的可编程逻辑器件供应商广东高云半导体科技股份有限公司(以下简称:高云半导体),宣布推出小封装、超低功耗的FPGA家族新成员GW1NZ系列。GW1NZ秉承高云半导体一贯的创新设计并采用目前世界上最先进的超低功耗、嵌入式闪存工艺,旨在提供最适用于移动及可穿戴设备市场的全新FPGA解决方案。
高云半导体
GW1NZ
FPGA
2018-10-30 08:00
2473
借助Vivado来学习FPGA的各种配置模式
单片机是基于FLASH结构的,所以单片机上电直接从本地FLASH中运行。但SRAM 架构的FPGA是基于SRAM结构的,掉电数据就没了,所以需要借助外部电路来配置运行的数据,其实我们可以借助Vivado来学习FPGA的各种配置模式。
FPGA
EDA
2018-11-06 08:11
1562
华为与赛灵思联合发布了FX系列FPGA加速卡,提供了
随着IT和人工智能技术快速发展,寻找高能效比的数据中心加速方案至关重要。华为在赛灵思开发者论坛上与赛灵思联合发布了FX系列FPGA加速卡,为基因测序、视频编码、图片处理、数据压缩等业务提供了高能效比的加速解决方案
FPGA
视频编码
2018-11-07 19:27
2920
重磅,Xilinx计划收购Mellanox
据外媒CNBC报道,FPGA巨头Xilinx就收购Mellanox事宜,聘请巴克莱银行为其谋划。
Xilinx
FPGA
2018-11-08 08:48
1564
数据爆炸时代,芯片产业链厂商面临哪些考验和机遇?
AI时代的来临,也意味着数据爆炸环境下对芯片产业链厂商的进一步考验。不论是背后的算法、架构搭建等,还是具体到对产业的渗透、地区市场的发展,厂商们都将有新的思考。
FPGA
2018-11-16 15:33
1548
为何RISC-V在中国有大不相同的遭遇?
如果你与不同的对象讨论,他们对于RISC-V架构在中国的发展前景看法可能也不同。一些说RISC-V即将起飞,一些则认为它正日益萎缩中。《EE Times》资深记者Junko Yoshida和Rick Merritt分别寻找RISC-V架构在中国发展的真相,最终得出了两种完全不同的结论。
RISC-V
Gowin
FPGA
2018-11-21 15:19
1948
模块电源作用
模块电源是可以直接贴装在印刷电路板上的电源供应器,可为专用集成电路(ASIC)、数字信号处理器(DSP)、微处理器、存储器、现场可编程门阵列(FPGA)及其他数字或模拟负载提供供电。本视频主要详细介绍了模块电源作用。
FPGA
ASIC
2018-11-23 18:23
2083
联电格芯相继退出,先进制程路在何方?
全球半导体行业市场规模持续扩张,先进制程领域追随摩尔定律成为重要成长驱动力。
FPGA
14nm
2018-11-24 11:53
2819
赛灵思发布搭载FPGA芯片的无人机5G通信基站
在日前上海举行的首届中国国际进口博览会(进博会)上,在赛灵思展台上看到了全球首款无人机5G通信基站,这款创新产品采用了赛灵思的FPGA芯片。
FPGA
赛灵思
2018-11-25 14:55
2680
华天科技硅基扇出型封装技术成功应用于毫米波雷达芯片
近日,华天科技(昆山)电子有限公司与江苏微远芯微系统技术有限公司合作开发的毫米波雷达芯片硅基扇出型封装获得成功,产品封装良率大于98%,目前已进入小批量生产阶段。
三维堆叠
出型封装
FPGA
2018-11-28 14:17
2353
7nm工艺竞技赛升级 eFPGA架构创新为人工智能加速
人工智能应用的新需求给FPGA带来了历史性的发展机遇,FPGA强大的并行计算能力、高能耗比、灵活的编程等优势,决定其将在人工智能舞台中将发挥重要作用。
FPGA
Achronix
2018-11-30 17:08
2060
AI芯片如果有“罗马大道” 必归功可重构计算
在芯片架构设计领域中,可重构计算技术并非一项新的存在。20世纪60年代末,加利福尼亚大学的Geraid Estrin首次提出重构计算,后过去二十余年,Xilinx才基于这一原型系统推出该技术的重要分支——FPGA架构,正式开启现代重构计算技术。
Xilinx
重构计算技术
FPGA
2018-12-03 17:04
1527
第二届全国大学生FPGA创新设计邀请赛将在南京举办
欢迎各大高校、企业、专业机构来现场观摩交流。
南京
FPGA
2018-12-06 09:14
2422
我们能够用的电容器不单只有电容
作为最常用的去耦神器 - 陶瓷电容具有很低的ESR和ESL(它们也很便宜),其次是钽电容,提供适中的ESR和ESL,但相对有较高的电容/体积比,因此它们用于更高值的旁路电容,用于补偿电源线上的低频变化。对于陶瓷和钽电容,较大的封装通常意味着较高的ESL。
FPGA
2018-12-06 15:45
1739
PCB设计是可以没有“地平面”的
-- 很多情况下我们无法减少这些走线电阻带来的影响,现在的板子越来越小型化,器件也越来越小,所以拥挤的板子上不允许较宽的走线以及非常充裕的空间让你摆放器件到最佳的位置,在这种情况下,“接地平面”就可以通过为信号回路提供非常低的阻抗来改善整体的性能。
PCB
FPGA
2018-12-06 15:45
5446
AI芯片如果有罗马大道 必定归功可重构计算
在芯片架构设计领域中,可重构计算技术并非一项新的存在。20世纪60年代末,加利福尼亚大学的Geraid Estrin首次提出重构计算,后过去二十余年,Xilinx才基于这一原型系统推出该技术的重要分支——FPGA架构,正式开启现代重构计算技术。
Xilinx
FPGA
2018-12-07 14:48
2179
FPGA如何实现30倍的高性能计算
FPGA(Field Programmable Gate Array)现场可编程门阵列,作为ASIC领域中的一种半定制电路而出现已有30年的历史了,它既解决了定制电路的无法改变功能的不足,又克服了原有可编程器件门电路数有限的缺点,可应用的场景也很广泛。
ASIC
FPGA
2018-12-07 14:48
2555
苹果、高通及海思纷纷下调7nm投片量台积电产能利用率不及预期
7nm
晶圆代工
FPGA
2018-12-07 14:36
2238
新型SoC FPGA架构扩展其Mi-V生态系统
PolarFire SoC提供大量调试功能,包括指令跟踪、50个断点指令、可配置的被动运行时高级可扩展接口(AXI)总线监控程序和FPGA结构监控程序,以及Microchip的内置双通道逻辑分析器SmartDebug。PolarFire SoC架构具有安全可靠的特点,例如针对所有存储器的单纠错和双纠错检测(SEC-DED)、物理存储器保护、差分功耗分析(DPA)安全加密内核、防护级安全启动,以及128 KB闪存引导存储器。
Microchip
FPGA
2018-12-06 22:45
2404
NI近日宣布推出LabVIEW NXG最新版本
LabVIEW NXG Web模块—包括动态Web应用程序的活动和属性,支持将JavaScript库集成到WebVI中,可访问SystemLink Cloud™软件(SystemLink Cloud™是NI托管WebVI的云服务软件,具有简单、安全等优点。)
FPGA
NI
2018-12-06 22:37
2065
手机芯片、绘图芯片等助力 京元电Q4运营稳健
东琳
东琳
FPGA
FPGA
东琳
FPGA
东琳
FPGA
2018-12-07 10:58
2116
定制化已成芯片设计业唯一出路?
具有讽刺意味的是,一度将成为适合所有场合的通用技术,现在却成了实现最具体的定制应用的使能技术。
可编程逻辑
FPGA
编程电路
2018-12-07 10:58
2244
10nm将昙花一现?英特尔爆7nm工艺进度!
半导体行业观察:现在,事实证明,英特尔的10纳米可能是一个短生命节点,因为该公司的7纳米技术正按照其原始计划进行引入。
FPGA
HVM
2018-12-07 08:31
1773
FPGA发展黄金时期,大学竞赛助力人才培养
作为本土FPGA技术的佼佼者,紫光同创一直都以开发本土自主可控的FPGA为目标,助力国产FPGA腾飞为己任,在近年来开发出了Titan、Logos及Compact三个主要产品系列。
紫光集团
FPGA
2018-12-08 09:13
2238
第二届全国大学生FPGA创新设计邀请赛暨 2018年FPGA创新应用高端论坛在南京圆满落幕
2018年12月9日,第二届全国大学生FPGA创新设计邀请赛颁奖典礼在南京江北新区 ICisC 人才实训基地隆重召开。
南京
FPGA
2018-12-10 08:54
1855
AI加速器的新选择
过去几年兴起的人工智能浪潮里,人们在过去的AI芯片选型。但进入今天,这种格局也许就要被改变了。
FPGA
AI
2018-12-10 08:54
原创
2071
信息产业革命新机遇——类脑芯片
随着世界数据量不断增加,目前摩尔定律下的处理器集成器件数量越发接近极限,当下急需通过架构的变化以应对大数据的需求。
AI
FPGA
2018-12-10 08:54
2332
[原创] 赛灵思:FPGA学习者应该看到这个趋势
人工智能的出现,摩尔定律的放缓,这些趋势正在影响全球范围内的每个人。
赛灵思
AI
FPGA
2018-12-14 08:30
原创
2945
英特尔FPGA中国创新中心落户重庆西永微电园
近日,英特尔FPGA中国创新中心正式在西永微电子产业园揭幕。
英特尔
FPGA
2018-12-19 15:58
1475
中国FPGA生态建设迎来新契机
对于国内的开发者来说,如何准确把握FPGA机遇,推动FPGA项目快速落地,就成为他们目前需要面对的大问题。
FPGA
英特尔
2018-12-20 08:37
原创
2233
英特尔FPGA中国创新中心在重庆揭幕
英特尔FPGA中国创新中心19日落户重庆西永微电子产业园。这是英特尔目前全球最大的聚焦FPGA技术与生态的创新中心,将推动FPGA在云计算、智慧城市、人工智能、智能制造、金融科技、5G通信等领域的广泛应用及前沿创新。
英特尔
FPGA
2018-12-20 14:31
2090
AI芯片公司混战,谁将笑到最后?
今年AI芯片市场格外热闹,除了传统的芯片巨头们争奇斗艳,AI算法公司也开始下沉做基础层的芯片,就连传统的互联网大佬百度和阿里也分别进入市场,百度发布AI芯片“昆仑”,阿里成立AI芯片公司“平头哥”。
FPGA
2018-12-21 16:19
1751
Vivado FPGA设计基础操作流程:Vivado的基本使用
选择器件或者板卡。Parts表示器件,当然如果是板卡就点击Boards。器件可以根据系列去选,也可以直接在Search栏搜索器件型号。器件的选择根据你的FPGA芯片来定,一般在你所用的开发板手册里面可以找到。选择完毕点击Next。
芯片设计
FPGA
2018-12-23 11:10
7701
赛灵思合作比亚迪,新车款搭载ADAS解决方案
近日,FPGA芯片制造商赛灵思公司宣布,在今年比亚迪发布量产的全新一代商用及乘用车中,搭载了赛灵思Zynq SoC L0/L1 前置摄像头高级驾...
赛灵思
ADAS
比亚迪
FPGA
2018-12-22 20:04
1722
开源FPGA怎么玩?能不能支持Risc V!
开源硬件领域MCU板卡很火,著名的Arduino、树莓派(Raspberry Pi)、Micro:bit,开源的MCU也是个热门的话题,除了老牌的8051、OpenRisc等,这两年的明星就是Risc V了,在中国集成电路大跃进的加持下,它几乎成了中国处理器追赶世界的一剂春药。
FPGA
开源
2018-12-24 13:39
3530
英特尔和戴尔易安信,为什么都对FPGA青睐有加?
为什么从英特尔到戴尔易安信,都对FPGA如此青睐有加?英特尔为什么会选择戴尔作为FPGA创新中心服务器的独家赞助商?对于FPGA技术的市场前景和未来发展,戴尔易安信有着怎样的判断和预期?对于用户而言,选择FPGA解决方案又能带来哪些好处?
英特尔
戴尔易安信
FPGA
2018-12-27 13:46
2448
安路科技分享国产FPGA发展心得
回顾2018年,人工智能与RISC-V是今年半导体行业提及最多的两个关键词。
RISC-V
AI
FPGA
2018-12-29 08:55
2597
FPGA虚拟化:突破次元壁的技术
FPGA虚拟化技术打破了时间和空间维度的限制,使用户能够轻松的在不同时间,对多个FPGA的各类资源进行充分的调度与使用。
FPGA
Overlay
2019-01-14 09:03
2316
宇宙最快FPGA产品8年前就已出现?这事得从帕克太阳探测器说起
8年前,NASA和约翰霍普金斯大学联合立项,投入15亿美元打造一款绕日探测卫星。2018年,这款名为“帕克号”的太阳探测器发射升空。帕克号上的电子系统和仪器组由多个FPGA共同控制,帮助帕克号成为有史以来最接近太阳和速度最快的人造航天器。
FPGA
2019-01-15 16:57
2116
讲真,这是太阳系中“最快”的FPGA
帕克号上的电子系统和仪器组由多个FPGA共同控制,帮助帕克号成为有史以来最接近太阳和速度最快的人造航天器。
FPGA
2019-01-17 09:11
1898
在异构计算时代下FPGA的演变与创新
作为经济建设和国家安全各个领域不可或缺的关键器件之一,FPGA对中国电子产业的发展至关重要。
集成电路
FPGA
AI
2019-01-23 08:50
2475
啥是eFPGA? | 科普
eFPGA,全称为嵌入式FPGA(Embedded FPGA),顾名思义是将类似于FPGA的可编程逻辑阵列“嵌入”到ASIC或SoC中。
FPGA
嵌入式
2019-01-26 11:14
2235
从Xilinx财报看FPGA巨头的业务分布
1月23日晚,赛灵思Xilinx公布了第三财季的财报。随即在今天,Xilinx的股票创下了公司自上世纪九十年代上市以来的历史新高。
Xilinx
FPGA
2019-01-26 12:42
3931
什么是FPGA工程师的核心竞争力
换个角度看,难道其他硬件工程师就不具备调板子、debug、硬件实现的能力了?或者,为什么说这些是专属于FPGA工程师的核心竞争力?
硬件工程师
FPGA
2019-01-29 08:59
2364
芯片厂商营收整体看衰赛灵思却逆势增长34%,凭什么
赛灵思可编程芯片产品市场的不断增长推动它的发展壮大,5G网络的推出也是它继续前行的助推器。
赛灵思
FPGA
2019-01-29 15:21
2640
FPGA产业的2018总结
在科技领域里,FPGA向来都不是最吸引眼球的。可是就在刚刚过去的2018年,就发生了很多FPGA相关的大事。在这些大事中,很多都会对FPGA甚至整个芯片行业未来的发展产生重大影响。
FPGA
2019-02-17 11:42
1878
[原创] 遇到eFPGA,5G基站难题有解了
毫无疑问,随着无线基础设施发展到5G,蜂窝网站连接将变得更加普遍,并与我们日常生活的方方面面完全融为一体。
5G
FPGA
2019-02-18 08:51
原创
2315
让5G 网络腾飞,英特尔推出下一代加速卡
值此 2019 年世界移动通信大会 (MWC) 隆重举办之日,英特尔推出了英特尔® FPGA 可编程加速卡 N3000(英特尔® FPGA PAC N3000)...
5G
英特尔
FPGA
2019-02-25 18:35
1622
复旦微电子芯片设计打破国外垄断 为智能生活提供“芯”保障
1998年,十多位复旦的创业者聚集在一起,开始从事芯片设计、开发。
复旦微电子
FPGA
2019-02-26 09:18
3749
FPGA在微软数据中心的前世今生
FPGA已不再仅仅是硬件公司的专属产品,而是可以有效的应用于像微软这样的互联网公司。
微软
FPGA
2019-03-04 08:56
1798
5G时代,FPGA将大有可为
相对于四千亿美金的IC市场,FPGA占比相当渺小,小到FPGA厂商绞尽脑汁开拓尽可能多的应用提高营收,终于在物联网的成长中看到了一丝曙光。
FPGA
5G
2019-03-05 18:33
2434
GPU、FPGA、ASIC等主流AI芯片对比
当前主流的AI芯片主要分为三类,GPU、FPGA、ASIC。其中GPU、FPGA均是前期较为成熟的芯片架构,属于通用型芯片。ASIC属于为AI特定场景定制的芯片。
AI芯片
FPGA
GPU
ASIC
2019-03-07 16:28
3227
FPGA适用于诸多领域,不断推动AI和大数据时代的发展
作为加速云数据中心的重要组件,FPGA已经开始了它在数据中心领域的广泛使用。除了像微软、亚马逊这样的大型云服务提供商之外,FPGA也逐渐开始进入其他类型和规模的数据中心,并在大数据处理、AI、网络功能加速等领域扮演者重要的角色。
数据中心
FPGA
2019-03-14 13:55
3146
FPGA如何解决实时AI的两大痛点?
微软在数据中心里大规模部署和应用FPGA的最初实践,来自于他的“Catapult项目”。这个项目的主要成就,是搭建了一个基于FPGA的数据中心硬件加速平台,包括各种必要的软硬件基础设施。通过三个阶段的发展,Catapult已经成功的帮助微软在其遍布全球的云数据中心里部署了成千上万的FPGA加速资源。
FPGA
AI
2019-03-18 15:19
3099
AI时代,FPGA会走向何方?
任何科学技术的发展和进步都离不开两个主要的推动力量,一个是相关领域各大公司的研发,另一个就是各大高校与科研院所的科学研究。
FPGA
AI
2019-03-23 11:59
2782
Efinix® 宣布 Trion™ T20 FPGA 样品已转接到大批量生产
可编程产品平台和技术创新企业 Efinix®今天宣布其 Trion™ T20 FPGA样品已转接到大批量生产。Efinix在短短几个月前才推出T20 样品,...
FPGA
2019-03-29 11:28
2364
从MCU到FPGA:第1部分
我是MCU的长期用户和狂热者,特别是对多功能低成本MCU上有着浓厚的兴趣,这种MCU模块能够通过单芯片实现优
FPGA
MCU
2019-04-01 12:36
1637
撼讯赴日参展拓商机,力推工控显卡/加速运算卡
显示卡制造商撼讯(6150)表示,将于2019 Japan IT week ESEC展(4月10日至12日)中,展示工业电脑专用显示卡及采用Xilinx FPGA的可程式化加速运算卡
撼讯科技
FPGA
2019-04-02 14:24
1702
FPGA迎来国产化黄金机会!
FPGA自发明后,发展之快超乎想象,且目前FPGA已是全球领先的先进工艺。
FPGA
2019-04-03 09:08
2465
[原创] 安路科技发布ELF3 FPGA产品:努力改变国际FPGA格局
4月2日,上海安路信息科技有限公司正式对外推出第三代“小精灵”ELF3系列高性能、低功耗FPGA产品,以及相应的配套开发软件。
FPGA
安路科技
2019-04-03 09:08
原创
2664
重磅!英特尔推出全新FPGA 架构:AgileX | 10nm工艺,支持PCIE 5.0、UPI,更方便实现与Xeon互连
今天情况发生了变化,英特尔宣布了其首款完全由英特尔独立设计的FPGA,基于其内部10nm工艺,使用全新Agilex命名。
FPGA
Intel
2019-04-03 08:34
3249
动打造以数据为中心的世界,英特尔推出® Agilex™ FPGA
英特尔宣布推出全新产品家族——英特尔® Agilex™ FPGA。全新现场可编程门阵列 (FPGA) 家族将提供量身定制的解决方案,以解决嵌入式、...
FPGA
2019-04-03 16:29
1563
eASIC:因为FPGA被英特尔宠幸的公司
在去年的7月,英特尔宣布,计划收购半导体芯片设计公司eASIC.那么eASIC究竟是何方神圣,为什么英特尔需要并购一家芯片来自其竞争对手台积电的小型半导体公司?
FPGA
英特尔
2019-04-03 17:38
1691
eASIC:因FPGA被英特尔宠幸的公司
在去年的7月,英特尔宣布,计划收购半导体芯片设计公司eASIC。那么eASIC究竟是何方神圣,为什么英特尔需要并购一家芯片来自其竞争对手台积电的小型半导体公司?
eASIC
FPGA
2019-04-03 15:13
1429
[原创] 四年磨一剑,英特尔FPGA强势反击
近日,英特尔终于拿出了“打磨”了几年的新武器——全新架构的FPGA Agilex。
FPGA
Intel
2019-04-04 09:14
原创
2275
2026年1200亿美元的FPGA市场,仍将由赛灵思和英特尔两大豪门分割?
2015年,英特尔斥巨资167亿美元收购了FPGA巨头Altera,从而改变了无晶圆半导体生态系统中最为激烈的竞争格局。在此次收购之前,FPGA市场基本上被赛灵思和Altera两家巨头平分了,剩下几个百分点由莱迪思和Actel牢牢把持着,此外就是毫无存在感的两家初创公司Achronix和Tabula了。
FPGA
英特尔
2019-04-04 17:31
1748
视频:Arduino/LoRa/ESP32/FPGA,两位技术大咖用这些硬件做了什么
第一期来自2018年德国慕尼黑电子展期间我们与一些资深工程师的对话,他们向我们展示了对电子产品的热爱,还有技术宅们可爱的一面。
FPGA
2019-04-08 11:28
2246
英特尔才收购Altera不久,又“移情别恋”上了eASIC?
在去年的7月,英特尔宣布,计划收购半导体芯片设计公司eASIC.那么eASIC究竟是何方神圣,为什么英特尔需要并购一家芯片来自其竞争对手台积电的小型半导体公司?
FPGA
Intel
2019-04-05 13:59
3048
[原创] 赛灵思唐晓蕾:AI时代,FPGA将给予创新者更多乐趣
当AI遭遇多场景而导致落地难时,越来越多的创新者将目光聚焦在了灵活应变的FPGA及基于FPGA的衍生产品上,FPGA迎来了巨大的发展机遇。
FPGA
AI
2019-04-09 08:54
原创
1473
英特尔AgileX如何与赛灵思的ACAP相抗衡?
当英特尔在2015年以167亿美元的价格收购Altera时,公司官员预测,到2020年,多达三分之一的服务器将配备FPGA。尽管这种情况不太可能发生,但它不会削减英特尔在数据中心和其他地方对FPGA的野心。
Intel
FPGA
2019-04-09 13:42
1974
AI时代,FPGA成为三大处理器主流芯片之一
说起FPGA(Field-Programmable Gate Array),即现场可编程门阵列, 本是硬件工程师才能玩转的半导体芯片,而今却随着工艺的进步和当今...
AI
FPGA
2019-04-09 18:09
1975
“RISC-V+FPGA”组合,为国内厂商带来多少新希望?
近两年,RISC-V在国内掀起一片热潮,被视作国产芯“自主可控”的发展契机。2018年还先后成立了中国RISC-V产业联盟、中国开放指令生态系统(R...
RISC-V
FPGA
2019-04-10 16:27
2717
【技术分享】微软FPGA发展历程一览
微软对FPGA在数据中心里应用的研究起源于2010年底,当时微软正希望从一个基于PC软件的公司,逐步转型为提供各类互联网服务的企业。Catapult项目的负责人Doug Burger认识到,像微软这种体量的互联网巨头不能只提供软件层面的互联网服务,还要从根本上掌控最高效的网络硬件设备。
微软
FPGA
2019-04-10 16:17
1853
【技术分享】FPGA与CPLD的区别一览,各有哪些优势?
CPLD主要是由可编程逻辑宏单元(LMC,Logic Macro Cell)围绕中心的可编程互连矩阵单元组成,其中LMC逻辑结构较复杂,并具有复杂的I/O单元互连结构,可由用户根据需要生成特定的电路结构,完成一定的功能。
FPGA
CPLD
2019-04-10 15:44
3432
【技术分享】FPGA越来越精密,对DC-DC电源的精度也越来越高
FPGA厂商不断采用更先进的工艺来降低器件功耗,提高性能,同时FPGA对供电电源的精度要求也越加苛刻,电压必须维持在非常严格的容限内,如果供电电压范围超出了规范的要求,就有会影响到FPGA的可靠性,甚至导致FPGA失效。
FPGA
2019-04-12 18:09
2155
【技术分享】详解FPGA中的DDS技术
我知道,我对与电子有关的所有事情都很着迷,但不论从哪个角度看,今天的现场可编程门阵列(FPGA),都显得“鹤立鸡群”,真是非常棒的器件。如果在这个智能时代,在这个领域,想拥有一技之长的你还没有关注FPGA,那么世界将抛弃你,时代将抛弃你。
DDS
FPGA
2019-04-16 17:40
2585
【技术分享】使用EPROM或EEPROM配置FPGA大家都会,使用NOR闪存呢?
NOR闪存已作为FPGA(现场可编程门列阵)的配置器件被广泛部署。其为FPGA带来的低延迟和高数据吞吐量特性使得FPGA在工业、通信和汽车ADAS(高级驾驶辅助系统)等应用中得到广泛采用。汽车场景中摄像头系统的快速启动时间要求就是很好的一个例子——车辆启动后后视图像在仪表板显示屏上的显示速度是最为突出的设计挑战。
FPGA
2019-04-16 22:07
2560
[原创] Intel再收购一家FPGA供应商
半导体行业观察:据外媒techcrunch报道,英特尔今日宣布,将收购Omnitek,这是一家总部位于英格兰的公司,他们开发了专门针对视频和AI应用的FPGA解决方案。
Intel
FPGA
2019-04-17 09:00
原创
3448
FPGA业务仅占营收的3%却成为10nm工艺第一批受益者,英特尔是怎么想的?
和过去几代产品相比,AMD近期推出的产品给了英特尔更为激烈的竞争压力,这将帮助AMD逐步超越英特尔;近几年来,英特尔一直深陷制造工艺升级泥潭,它最近发布的10纳米 FPGA表明它的10纳米工艺还有一些尚未得到解决的问题;AMD很有可能重现二十年前的辉煌,再次夺得CPU性能的铁王座。
英特尔
FPGA
2019-04-17 16:58
1821
[原创] FPGA龙头越来越强,国内厂商如何发展?
半导体行业观察:在英特尔于最近发布10纳米Agilex,加上Xilinx早前推出7nm ACAP平台之后,FPGA两大龙头已经不约而同跨入了一个不同的世代。这种不同不仅体现在他们的工艺进程迈进了更
工艺进程
FPGA
2019-04-18 08:47
原创
2931
英特尔收购Omnitek,只为进军FPGA市场?
4月18日消息,英特尔近日宣布收购了家领先的优化视频和视觉 FPGA IP解决方案提供商 Omnitek。据了解,Omnitek的技术在 FPGA 上实现了定制的高性能视觉和人工智能 (AI) 推理功能,能够满足各个终端市场的客户需求。
英特尔
Omnitek
FPGA
2019-04-18 16:46
2215
拥抱新欢市场!英特尔收购这家公司加注FPGA
Intel
FPGA
2019-04-19 17:37
1377
【技术分享】FPGA市场走向分析,纵横融合是主要方向
FPGA在先进工艺路上的狂飚猛进带来了如影随形的挑战:一方面,进入20nm和14nm阶段后,不光是FPGA复杂度提升,对其外围的电源管理等芯片也提出了“与时俱进”的要求。
FPGA
2019-04-22 22:05
1776
AI芯片市场巨头扎堆,赛灵思FPGA靠什么脱颖而出?
AI(人工智能)俨然是近年全球科技产业最重要的热门词汇,作为生产AI创新核心芯片的供货商们,自然也不能放过藉助这项重要技术应用重新洗牌的机会。除了 Intel、NVIDIA、Qualcomm等芯片巨头皆在 AI 布下重兵,FPGA 大厂 Xilinx 同样投入 AI 战场,且发展势头强劲。
AI
FPGA
2019-04-23 11:58
2032
Achronix:FPGA如何玩转工业物联网?
随着互联网红利逐渐消失,物联网在整体科技发展潮流中顺势而行,成为被普遍看好的新一代产业发展方向。
FPGA
工业物联网
2019-04-24 15:08
2194
【技术分享】FPGA从入门到精通,这几点你得明白!
掌握FPGA可以找到一份很好的工作,对于有经验的工作人员,使用FPGA可以让设计变得非常有灵活性。掌握了 FPGA设计,单板硬件设计就非常容易(不是系统设计),特别是上大学时如同天书的逻辑时序图,看起来就非常亲切。但FPGA入门却有一定难度,因为它不像软件设计,只要有一台计算机,几乎就可以完成所有的设计。
FPGA
2019-04-26 23:36
2137
基于FPGA的量子计算测试方案
Keysight World 2019 邀您一起探索更多前沿黑科技!
FPGA
量子科技
2019-04-28 12:13
2589
实现自主可控,紫光同创FPGA芯片开始供客户试用
5月16日,紫光国微在投资者交流活动中表示,公司子公司紫光同创的FPGA芯片目前已经有几个系列的产品完成了开发,正在提供给客户试用,出货量还较小。
FPGA
紫光同创
2019-05-17 15:05
3330
将性能提升到全新高度 Achronix推出突破性的FPGA系列产品
全新Speedster7t系列产品专为机器学习市场和高带宽网络应用而进行了优化创新架构和ACE软件工具为要求更高性能和更短设计周期的设计提供了全
FPGA
2019-05-22 19:03
1528
这家美国芯片公司,选择在中国首发可用于自动驾驶的全新FPGA芯片
近日,总部位于美国加州圣克拉拉市的半导体公司Achronix,在中国深圳举办交流会,宣布推出全新的7nm FinFETSpeedster7t系列产品,该产品可以满足人工智能/机器学习(AI/ML)和高带宽数据加速应用的需求。
FPGA
Achronix
2019-05-23 16:54
1541
MachXO3D FPGA和sensAI 2.0同时发布,莱迪思用FPGA诠释AIoT
“FPGA的应用设计是从FPGA本身的灵活性出发的,只是刚好AI能够在乘法器和加法器上跑运算,因此FPGA的SoC和ASIC属于健康竞争的关系,更多时候是优势互补,通过性能搭配实现双赢。”
FPGA
莱迪思
2019-05-23 15:46
1642
莱迪思全新FPGA赋予3D新含义
“3D这个‘D’是Defense、防卫,不是‘三维’这个意思。全新的防卫功能是通过硬件和信任来增加安全性的。”莱迪思半导体公司近日宣布推出Ma
莱迪思
FPGA
2019-05-24 19:12
2310
Spectral Edge将展示突破性FPGA图像信号处理器
Spectral Edge将展示其新推出的图像信号处理器。新型图像信号处理器采用Spectral Edge独有图像融合技术,面向安防、汽车和消费者市场摄像机系统应用开发。
Spectral Edge
FPGA
2019-05-24 18:09
1545
物联网发展迎来新的活力,作为FPGA业界的佼佼者,赛灵思的表现会是如何?
近些年来,物联网的发展为工业和医疗领域带来了新的活力。由此,也催生了工业物联网(IIoT)和医疗物联网(HcIoT)的创新。这些新兴领域的崛起,为FPGA的发展提供了机会。作为FPGA业界的佼佼者,赛灵思在工业物联网和医疗物联网领域上的表现如何?
赛灵思
FPGA
2019-05-24 18:51
1891
FPGA的CAGR随AI应用中的扩张大幅增长
过去几年,FPGA的CAGR大约一直保持在8-10%左右,随着该类器件在AI应用中的扩张,未来5年其CAGR增长将高达38 4%!根据市场调研公司Semico R
CAGR
FPGA
2019-05-25 19:14
1652
SoC FPGA:工业和医疗物联网的新生力军?
SoC
FPGA
2019-05-29 19:48
1999
AI时代的FPGA该何去何从?
半导体行业观察:作为一种可编程逻辑器件,FPGA在20多年中已从电子设计的外围器件逐渐演变为数字系统的核心。
AI
FPGA
2019-05-30 08:55
1472
Achronix对国产FPGA发展的启示
在全球范围内,FPGA行业的主要市场份额常年被赛灵思和英特尔两家公司牢牢掌握。随着时间的积累,这两家公司逐渐构建起一道由上万件核心技术专利组成的“护城河”。
FPGA
Achronix
2019-06-04 09:14
2183
美国公司 Achronix 发布最新 FPGA 产品,其发展历程对国产 FPGA 行业究竟有哪些启示?
在全球范围内,FPGA行业的主要市场份额常年被赛灵思和英特尔两家公司牢牢掌握。随着时间的积累,这两家公司逐渐构建起一道由上万件核心技术专利组成的“护城河”,而这条护城河也成功阻止了很多FPGA公司进一步发展的脚步。
FPGA
赛灵思
2019-06-05 10:26
1367
Achronix 最新 FPGA 有何性能特点?其发展历程对国产 FPGA 又有怎样的启示?
在全球范围内,FPGA行业的主要市场份额常年被赛灵思和英特尔两家公司牢牢掌握。随着时间的积累,这两家公司逐渐构建起一道由上万件核心技术专利组成的“护城河”,而这条护城河也成功阻止了很多FPGA公司进一步发展的脚步。一些存活下来的公司,比如Lattice和Microsemi,往往只能靠剑走偏锋,专攻低功耗、航空航天等特殊的应用领域。
FPGA
赛灵思
Achronix
2019-06-05 14:27
1825
[原创] 京微齐力CEO王海力:目标成为中国最优秀的FPGA厂商
近年来,因为人工智能和5G的火热,大家对FPGA的关注度又到了一个新的高度。
FPGA
京微齐力
2019-06-10 08:42
原创
5373
赛灵思、英特尔双雄争霸 国产FPGA何时能逆风翻盘?
?随着AI、物联网、无人驾驶、5G在内的新兴行业兴起的同时,对极具灵活性、可重构的FPGA有很大的需求,也给FPGA厂商带来更多机会,构成庞大的市场。
赛灵思
FPGA
2019-06-11 11:26
1523
FPGA 的上电过程如何?在配置电路中又存在着怎样的配置方式?
目前,大多数FPGA芯片是基于 SRAM 的结构的, 而 SRAM 单元中的数据掉电就会丢失,因此系统上电后,必须要由配置电路将正确的配置数据加载到 SRAM 中,此后 FPGA 才能够正常的运行。
RAM
FPGA
2019-06-26 16:32
2862
[原创] 这将是FPGA的下一代形态?
上周,Xilinx宣布其新一代基于ACAP架构的芯片系列Versal已经正式出货给第一批客户,并将在今年下半年正式大规模出货。这也意味着Xilinx研发多年的新架构终于到了经历市场检验的阶段。
FPGA
Xilinx
2019-07-01 11:31
原创
2817
数字前端和FPGA的技能与区别
FPGA只是一种工具。运用好工具,才能有好的发展。
FPGA
数字前端
设计工具
2019-07-01 18:38
4793
FPGA工程师详解,教你如何入门FPGA
FPGA只是工具,怎么运用好工具才是关键!
FPGA
FPGA工程师
2019-07-02 06:07
2430
5G时代为什么需要Xilinx?
在谈到5G的半导体受益企业的时候,我们通常会想到的是华为、高通、联发科和博通等公司,但其实作为FPGA的发明者,Xinlinx也是5G时代的一个必不可少的重要角色。
FPGA
5G
2019-07-02 10:31
2613
高云半导体推出最新安全FPGA系列产品
中国广州,2019年7月1日 - 全球发展最快的可编程逻辑公司广东高云半导体科技股份有限公司(以下简称“高云半导体”)宣布其安全FPGA系列产品正式发布。安全FPGA针对端点应用,实现内置的安全加密功能以消除安全攻击和边缘计算中的漏洞。
FPGA
广东高云
2019-07-03 17:46
2359
探秘Agilex FPGA,看懂英特尔的技术创新力
两个月前,也就是曾经的FPGA巨头Altera被英特尔收购的4年之后,英特尔推出了“全面借助自身能力”开发的新一代FPGA产品——Agilex。与此前Altera推出的Stratix、Arria、Cy
英特尔
FPGA
2019-07-11 20:46
2216
FPGA 国产化进程加速,安路科技能否迎来爆发?
FPGA是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物,它由输入/输出块、可配置逻辑块和可编程互联三部分组成,同一片FPGA,不同的编程数据,可以产生不同的电路功能,因此FPGA的使用非常灵活。
FPGA
安路科技
2019-07-17 20:04
3861
FPGA、可编程HPC未来就靠你们了!
技术名词:FPGA、HPC、触发器过滤器、Github、HLS、hls4ml、Project Catapult、HWMS、ML、DNN、GEMM、StatixFPGA为高性能计算和机器学习提
FPGA
HPC
2019-07-18 16:06
2612
华为风波背后的思考,国产 FPGA 如何破解困境?
芯片,一个特别专业的领域,因为中美之间的贸易摩擦,走进大众视野。
FPGA
华为
2019-07-23 16:12
3708
恢复部分华为供货,Xilinx Q1 财报符合预期
在美国宣布制裁华为之后,中国企业被卡脖子的报道铺天盖地,在普通人眼里处理器、操作系统是最出名的,但实际上还有很多不为人知的东西也容易被卡,比如FPGA芯片,华为自己研发芯片及5G设备的时候就少不了使用FPGA。
华为
FPGA
2019-07-25 15:42
1441
紫光国微上半年净利润同比增长61.02% FPGA产品已小批量销售
7月24日晚间,紫光国微发布其2019年半年度业绩快报。
紫光国微
FPGA
2019-07-25 15:42
2086
赛灵思2020财年第一财季财报,营业收入8.50亿美元
近日,赛灵思公布财报,公告显示公司2020财年第一财季归属于普通股东净利润为2.41亿美元,营业收入为8.50亿美元。
赛灵思
FPGA
2019-07-25 20:00
2856
FPGA 并非软件开发,一文看懂 FPGA 重点知识
对于FPGA,其官方解析FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
FPGA
PLD
2019-07-26 16:05
3419
FPGA 是否走在正确路上?通用 FPGA 如何实现?
在 FPGA 广泛应用的今天,康奈尔大学计算机科学助理教授 Adrian Sampson 思考它是否走在正确的路上,如何能够实现通用 FPGA 等问题。
康奈尔大学
FPGA
2019-07-29 16:07
2311
FPGA+云计算:逼真绚丽视觉场面,光线追踪有多强?
光线追踪可以让画面还原真实视觉效果,让画面更加的逼真。看漫威的科幻大片,是不是给你一种异常真实的错觉?这就是光线追踪的魅力。英特尔® FPGA中国创新中心(以下简称“创新中心”)展厅,展出了一例光线追踪演示。在体验此演示之前,我们先来了解下光线追踪。
FPGA
光线追踪
2019-08-01 15:56
2349
如何做芯片的定义?
为什么要做芯片?做芯片是一门技术,也是一门艺术,最终必须是一门生意。
FPGA
芯片
2019-08-13 09:26
2338
FPGA是否可以脱离CPU独立部署?
作为一种硬件可重构的体系结构,FPGA经常被用作专用芯片(ASIC)的小批量替代品,随着全球数据中心的大规模部署,以及人工智能应用的落地,FPGA凭借强大的计算能力和高度的灵活性有了更多的用武之地。F
CPU
FPGA
2019-08-14 09:00
2003
[原创] 危机四伏的Lattice
正是在这些业绩的推动下,带动Lattice 的股价在过去一年里涨幅超过200%,公司市值也在今年达到了上市以来的最高点。
Lattice
FPGA
2019-08-14 09:10
原创
2129
阿里发布自研 AI 语音 FPGA 芯片
在美国旧金山举行的芯片行业顶级学术会议HOTCHIPS上,阿里巴巴达摩院发布了新一代AI语音FPGA芯片技术——Ouroboros。阿里巴巴称,这是业界首款专用于语音合成算法的 AI FPGA芯片结构设计,能将语音生成算法的计算效率提高百倍以上
芯片
FPGA
2019-08-22 09:30
1651
台积电业绩再进补!赛灵思推16纳米制程全球容量最大FPGA
<div class="newspage-cont" style="margin-bottom: 20px;"> <p> 晶圆代工大厂台积电业绩再进补!其重要客户之一的FPGA厂商赛灵思(Xil
FPGA
赛灵思
2019-08-22 21:49
1996
350亿个晶体管:赛灵思推出全球最大FPGA
领先的FPGA供应商Xilinx宣布,推出全球容量最大的FPGA产品——Virtex UltraScale+ VU19P。
FPGA
赛灵思
2019-08-22 16:53
2435
Xilinx发布世界最大FPGA芯片:350亿晶体管
赛灵思(Xilinx)今天宣布推出世界最大的FPGA芯片“Virtex UltraScale+ VU19P”,拥有多达350亿个晶体管
FPGA
晶体管
2019-08-22 17:01
2338
Xilinx推出拥有900万个系统逻辑单元的全球最大 FPGA
2019年8月22日,自适应和智能计算的全球领先企业赛灵思公司(Xilinx, Inc.)今天宣布推出全球最大容量的 FPGA – Virtex UltraScale+ VU19P,从而进一步扩展了旗下 16 纳米 (nm) Virtex UltraScale+ 产品系列
FPGA
赛灵思
2019-08-22 15:18
2096
台积电业绩再进补 赛灵思推16纳米制程全球容量最大FPGA
晶圆代工大厂台积电业绩再进补,其重要客户之一的FPGA厂商赛灵思(Xilinx)宣布,推出采用台积电16纳米制程,全球容量最大的Virtex UltraScale+VU19P FPGA,扩展旗下Virtex UltraScale+系列产品。
台积电
FPGA
2019-08-23 00:00
2210
FPGA频刷存在感 这块芯片究竟有何特别之处?
日前赛灵思推出最大容量FPGA芯片,阿里达摩院也发布了关于AI语音的FPGA芯片技术。FPGA芯片有何特别之处?它和当下最热的5G、AI领域又有何关系呢?据媒体报道,全球最大的可编程芯片(FPGA)厂
芯片
FPGA
2019-08-23 08:50
1807
【原创】FPGA频刷存在感 这块芯片究竟有何特别之处?
<br/>日前赛灵思推出最大容量FPGA芯片,阿里达摩院也发布了关于AI语音的FPGA芯片技术。FPGA芯片有何特别之处?它和当下最热的5G、AI领域又有何关系呢?《科创板日报》(上海,季晟)22日讯
FPGA
芯片
2019-08-23 10:55
2229
达摩院发布新一代AI语音FPGA芯片,处理速度成百倍提升
在美国旧金山举行的芯片行业顶级学术会议HOTCHIPS上,阿里巴巴达摩院发布了新一代AI语音FPGA芯片技术——Ouroboros,该技术能将语音生成算法的计算效率提高百倍以上。
芯片
FPGA
2019-08-23 09:41
1818
国产FPGA进军日本,高云半导体正式签约日本丸文株式会社
<section> 全球增长速度最快的可编程逻辑厂商广东高云半导体科技股份有限公司(以下简称“高云半导体”)宣布,签约日本丸文株式会社(以下简称“丸文”)为其日本经销商,以进一步拓展全球销售网络。
日本
FPGA
2019-08-27 00:00
2487
如何看待英特尔的3000亿美元机遇?从FPGA国际大赛说起
2019中国国际智能产业博览会(简称“智博会”)FPGA智能创新国际大赛总决赛于8月26日在举行。这项国际顶级赛事共吸引了来自11个国家的400多支优秀创新团队报名,在四大分赛区历时数月层层筛选,产生12强角逐总决赛
FPGA
英特尔
2019-08-27 15:39
1510
为芯片而造:赛灵思推出全球最大FPGA
近日,赛灵思宣布推出世界最大的FPGA芯片“Virtex UltraScale+ VU19P”。V也是赛灵思刷新世界记录的第三代FPGA,将广泛支持测试测量、计算、网络、航空航天和国防等相关应用。据悉,VU19P采用台积电16纳米工艺,后续产品将采用7纳米工艺
赛灵思
FPGA
2019-08-28 10:05
1826
Intel出货10nm Agilex FPGA:DDR5、PCIe 5.0
Intel近日宣布,首款Agilex FPGA已经面向早期客户出货,包括Colorado Engineering Inc.、Mantaro Networks、微软、Silicom,将用来开发网络、5G、数据分析等先进解决方案。
Intel
FPGA
2019-09-02 00:00
1166
由点到面,国产FPGA产业链的突围之路漫漫
随着AI、大数据、物联网、无人驾驶等新兴技术的发展,FPGA凭借灵活性、可重构性赢得了更多增量市场。赛灵思的营收也一路高歌,在2019财年突破了30亿美元,年度增长高达24%,而全球FPGA市场规模在
FPGA
赛灵思
2019-09-09 10:22
2509
[原创] 为了异构计算,英特尔新推出了一款FPGA
半导体行业观察:四年前,英特尔收购了知名FPGA公司Altera。伴随着这两者的结合,Altera的Stratix 10系列也被英特尔收入囊中。
FPGA
英特尔
2019-09-24 09:00
原创
1992
SoC设计与验证流程
SOC设计和FPGA开发这么像,那有什么区别呢?
FPGA
SoC设计
SoC设计SOC验证
2019-09-26 10:09
2763
Achronix加入台积电(TSMC)半导体知识产权(IP)联盟计划
美国加州圣克拉拉市,2019年9月25日—基于现场可编程门阵列(FPGA)的硬件加速器件和高性能嵌入式FPGA(eFPGA)半导体知识产权(IP)领导性企业Achronix半导体公司(Achronix
知识产权
FPGA
2019-09-29 11:27
1817
高层次综合:解锁FPGA广阔应用的最后一块拼图
半导体行业观察:高层次综合(High-level Synthesis)简称HLS,指的是将高层次语言描述的逻辑结构,自动转换成低抽象级语言描述的电路模型的过程。
FPGA
RTL
2019-10-15 09:04
2060
2019英特尔®FPGA技术大会 | 演讲嘉宾大揭秘,赋能创新、加速未来
半导体行业观察:赶紧加入这场FPGA领域的盛会
FPGA
英特尔
2019-10-21 08:55
1507
[原创] 赛灵思推出革命性统一软件平台,突破软硬件壁垒
半导体行业观察:众所周知,赛灵思在硬件方面的实力非常强悍,从FPGA到Zynq SoC再到ACAP,赛灵思所推出的硬件一直备受市场关注。
赛灵思
FPGA
2019-10-25 09:04
原创
1289
[原创] 赛灵思FPGA方案为何赢得竞争对手盟友青睐?XDF将给出答案
半导体行业观察:当下,芯片厂商对于能够给客户提供系统级解决方案和相应附加值的关注程度和热情前所未有。
FPGA
赛灵思
2019-10-28 09:24
原创
1545
竞争对手点赞的赛灵思FPGA有何不同?
随着近年所呈现的5G+AI发展趋势,生态已经成为巨头们必竞之城池。赛灵思的种种转型帮助其在竞争中获得了鲜明的特点,同时最近发布的FPGA产品也让业界眼前一亮。整体转型的产品服务全貌向平台级公司转型的赛灵思,正从低调沉稳的风格走向年轻化,在投资、并购以及推出全新类别的产品上都加快了脚步
赛灵思
FPGA
2019-11-05 17:11
1772
英特尔发布全球最高容量FPGA
今年九月英特尔发布了Stratix 10 DX FPGA,在两个月后,英特尔又扩充了其Stratix 10系列产品,推出了Stratix 10 GX 10M FPGA。
英特尔
FPGA
2019-11-06 10:52
2391
OpenStack硬件管理加速利器:Cyborg
根据不同业务场景和自身优势,通讯厂商引入GPU、FPGA等进行加速,推出了各种加速硬件方案。
OpenStack
FPGA
2019-11-06 10:07
1575
针对ASIC原型设计和仿真市场,英特尔发布全球最大容量FPGA
11月6日,英特尔FPGA技术大会北京站上宣布,推出全球最大容量的全新Stratix 10 GX 10M FPGA。此前,多家客户已经收到该产品的样片,现已量产。
FPGA
英特尔
2019-11-07 00:00
1648
英特尔推世界最大FPGA 芯片,搭载433亿个晶体管
今天,英特尔推出了世界上最大的FPGA芯片Stratix 10 GX 10M,搭载433亿个晶体管,拥有1020万个逻辑元件,使用EMIB将两个FPGA芯片和四个收发芯片连接在一起。
芯片
FPGA
2019-11-07 11:31
1539
数据中心加速器或是FPGA年复合增长率最高的细分市场
FPGA是一种介于通用芯片和定制芯片之间的一类芯片,相对于这两种而言,它的应用领域相对集中化,但是在很多特定领域,它的优势非常明显。
FPGA
芯片
2019-11-07 15:44
2278
[原创] 三强争霸高端FPGA(二):内存,I/O和自定义
半导体行业观察:在本系列的第1部分中,我们研究了Achronix,Intel和Xilinx的新型高端FPGA系列。我们比较了底层半导体工艺,可编程逻辑LUT架构的类型和数量,DSP /算术资源的类型和数量以及它们在AI推理加速任务中的适用性
FPGA
Intel
2019-11-12 08:52
原创
1999
[原创] 三强争霸高端FPGA(三):软件成主战场
半导体行业观察:在本系列的第1部分三强争霸高端FPGA(一)中,我们研究了来自Xilinx、英特尔和Achronix的新型高端FPGA系列,并讨论了它们的底层半导体工艺、可编程逻辑LUT结构的类型和数...
FPGA
英特尔
2019-11-13 09:12
原创
2097
[原创] 深耕“小产品”市场,莱迪思怎样理解FPGA?
半导体行业观察:作为一种可编程逻辑器件,FPGA在短短二十多年中从电子设计的外围器件逐渐演变为数字系统的核心。
FPGA
莱迪思
2019-12-11 08:53
原创
3077
如何解决现代FPGA开发的痛点?
半导体行业观察:随着FPGA不断发展到今天,它可能是有史以来最为复杂的半导体器件。例如,赛灵思在XDF上展示的Versal器件包含360亿支晶体管
FPGA
赛灵思
2019-12-26 09:03
1697
FPGA人才培养刻不容缓,紫光同创“雏鹰计划”正在翱翔!
半导体行业观察:凭借其高度的灵活性,FPGA越来越受追捧,在通信、数据中心、工业、人工智能、消费电子等领域均得到广泛应用。
FPGA
紫光
2019-12-30 09:06
1905
FPGA科普,国产厂商任重道远
半导体行业观察:FPGA 是可以先购买再设计的“万能”芯片。FPGA (Field-Programmable Gate Array)现场可编程门阵列,是在硅片上预先设计实现的具有可编程特性的集成电路......
FPGA
科普
2020-01-01 10:44
2835
又一个本土厂商发布FPGA芯片
半导体行业观察:12月27日消息,深圳拓普龙科技有限公司与厦门厚生富民数据科技有限公司携手共同宣布推出基于全新自主研发的eyeball55 FPGA芯片......
FPGA
芯片
2020-01-01 10:44
1693
高云半导体成功量产Always-On超低功耗GW1NZ-ZV器件
2020年1月6日,中国广州-全球增长最快的可编程逻辑公司-广东高云半导体科技股份有限公司(以下简称“高云半导体”),正式宣布其超低功耗器件GW1NZ-ZV FPGA全面量产,此产品静态功耗比业界基于Flash的非易失FPGA低50%,最低功耗低于28uW
FPGA
Al
2020-01-06 10:36
3412
原英特尔FPGA负责人加入AMD
半导体行业观察:再次印证FPGA在未来数据中心的重要意义
FPGA
英特尔
2020-01-18 10:45
901
FPGA最有影响力的25个研究成果 – 系统架构篇
半导体行业观察:看看FPGA系统架构是如何让发展的。
FPGA
系统架构
2020-02-28 09:05
1854
MathWorks HDL Verifier 已通过UVM支持, FPGA、ASIC验证将提速
MathWorks 宣布,HDL Verifier 从现已上市的 Release 2019b 开始提供对 Universal Verification Methodology (UVM) 的支持。HDL
FPGA
ASIC
2020-03-02 00:00
1596
震惊!FPGA运算单元可支持高算力浮点
震惊!FPGA运算单元可支持高算力浮点-MLP全称Machine Learning Processing单元,是由一组至多32个乘法器的阵列,以及一个加法树、累加器、还有四舍五入rounding/饱和saturation/归一化normalize功能块。
FPGA
Mac
2020-03-03 18:20
834
基于高精度可编程延迟单元实现高性能8倍采样器
基于高精度可编程延迟单元实现高性能8倍采样器的设计-异步串行数据接口要求接收器恢复数据,方式是对比特流进行检查,并在所发送数据未附带时钟时确定每个位的采样位置。有几种方法可以在 Xilinx FPGA 中实现此类接收器。RocketIO收发器就是专门为这一任务设计的,但并非在所有 Xilinx FPGA 中都可用。根据器件系列和速度级别不同,SelectIO 的输入端和 FPGA 逻辑资源可以实现比特率高达近 1 Gb/s 的异步串行接收器。
接收器
FPGA
2020-03-03 18:21
956
采用StratixⅡ FPGA器件提高加法树性能并实现设计
采用StratixⅡ FPGA器件提高加法树性能并实现设计-图2列出了和传统的4输入LUT结构的FPGA相比较,采用ALM的StratixⅡFPGA器件例化3输入加法器的优势。从图2中可以清楚地看出,对于同样3个2 b数据相加的逻辑结构,传统4输入LUT结构的FPGA例化需2级完成,占用4个LE,而对于采用ALM的StratixⅡFPGA器件来例化,只需一个ALM即可。
FPGA
触发器
2020-03-03 18:53
1504
跨时钟域信号处理中同步通信的设计的重要性及
跨时钟域信号处理中同步通信的设计的重要性及解决方法-上次提出了一个处于异步时钟域的MCU与FPGA直接通信的实现方式,其实在这之前,特权同学想列举一个异步时钟域中出现的很典型的问题。也就是要用一个反例来说明没有足够重视异步通信会给整个设计带来什么样的危害。
FPGA
MCU
2020-03-03 18:58
949
借助存储器的工作原理及在跨时钟域通信中的使
借助存储器的工作原理及在跨时钟域通信中的使用-为了达到可靠的数据传输,借助存储器来完成跨时钟域通信也是很常用的手段。在早期的跨时钟域设计中,在两个处理器间添加一个双口RAM或者FIFO来完成相互间的数据交换是很常见的做法。如今的FPGA大都集成了一些用户可灵活配置的存储块,因此,使用开发商提供的免费IP核可以很方便的嵌入一些常用的存储器来完成跨时钟域数据传输的任务。使用内嵌存储器和使用外部扩展存储器的基本原理是一样的,如图1所示。
RAM
FPGA
2020-03-03 18:59
848
基于视频速度应用在FPGA上实现的结构光中心线提
基于视频速度应用在FPGA上实现的结构光中心线提取算法-随着测控技术及数字图像处理技术的高速发展,基于三角法线结构光的三维测量具有高精度、非接触、实时性和强主动受控性的特性,因此在现实中有广泛的应用,尤其是在计算机视觉、医疗诊断和工业检测等领域应用价值日渐增强。在结构光检测系统中,利用CCD相机以及工业相机摄取用线结构平面激光照射照在物体表面形成的光条纹中心信息,然后根据光条纹中心偏移量进行三维定标,这样可以得到物体表面的各类信息,比如表面的缺陷以及形变等。有鉴于此,线结构光条中心信息的图像处理在测量过程中就显得十分关键。虽然目前结构光中心线的提取方法有许多种,比如:阈值法、极值法、灰度重心法、方向模板法、Hessian矩阵法等,这些方法都有各自的优缺点以及一定的应用范围。基于数字图像处理的特点是处理的数据量非常大,处理非常耗时
结构光
FPGA
2020-03-03 19:02
1115
当MCU遇上FPGA会碰撞出怎样的火花
上海润欣科技股份有限公司创研社 MCU是Microcontroller的缩写,中文翻译是微控制器,可以简单理解为集成在单一芯片上的微型计算机,它有运算器、控制器、存储器、总线及其他外设,采用存储程序执行的方式,对MCU的编程就是对其中的ROM写入程序,系统上电后ROM中的程序会像计算机内存中的程序一样得到逐条地执行。MCU相当于一个微型的计算机芯片,因此,也有地方把MCU称为单片机。MCU用途比较广,几乎所有电子产品都可以找到MCU的身影。 FPGA是Field
MCU
FPGA
2020-03-03 19:06
2395
怎么让FPGA内部超高带宽逻辑互连的方法
怎么让FPGA内部超高带宽逻辑互连的方法-作者:Achronix资深现场应用工程师 黄仑 一个运用NoC来优化加解密设计的例子 Achronix 最新基于台积电(TSMC)的7nm FinFET工艺的Speedster7t FPGA器件包含了革命性的新型二维片上网络(2D NoC)。2D NoC如同在FPGA可编程逻辑结构上运行的高速公路网络一样,为FPGA外部高速接口和内部可编程逻辑的数据传输提供了超高带宽(~27Tbps)。 图1 Speedster 7t FPGA结构图 NoC使用一系列高速的行和列网络通路在整个FPGA内部分发数据,从而在整个FPGA结构中以水平和垂直方式分发数
FPGA
NoC
2020-03-04 18:28
1587
FPGA芯片行业长年被美国垄断 这家国产企业横空出
FPGA芯片,一个特别专业又烧钱的领域。
FPGA
芯片
2020-03-04 18:46
1610
基于FPGA器件和DSP系统实现自适应回波抵消器的设
基于FPGA器件和DSP系统实现自适应回波抵消器的设计-在数字通信、卫星通信等系统中,不同程度的存在回波现象,影响了通信质量。为了消除回波可以采用回波抵消器,它能估计回波路径的特征参数,以产生一个估计的回波信号,然后从接收信号中减去该信号,以实现回波抵消。而一般采用自适应滤波器模拟回波路径,可以跟踪回波路径的变化。
FPGA
DSP
2020-03-04 18:58
1460
基于级联结构和VHDL语言的IIR数字滤波器在FPGA上实
基于级联结构和VHDL语言的IIR数字滤波器在FPGA上实现设计-IIR数字滤波器在很多领域中有着广阔的应用。与FIR数字滤波器相比,它可以用较低的阶数获得高选择性,所用存储单元少,经济而效率高,在相同门级规模和相同时钟速度下可以提供更好的带外衰减特性。下面介绍一种在FPGA上实现IIR数字滤波器的方法。
滤波器
FPGA
2020-03-04 19:00
923
基于FPGA技术和AD9833芯片实现可编程遥测信号源的
基于FPGA技术和AD9833芯片实现可编程遥测信号源的设计-FPGA是一种高密度的可编程逻辑器件。经过20多年的发展,FPGA的逻辑规模已经从最初的1000个可用门发展到现在的1000万个可用门,采用Verilog HDL语言进行设计,在写激励和建模方面存在很大优势。FPGA的基本组成部分有可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等。FPGA器件在结构上由逻辑功能块排列为阵列,通过可编程的内部连线连接这些功能块来实现一定的逻辑功能。由于FPGA器件集成度高,开发和上市周期短,在数字设计和电子生产中得到迅速普及和应用,曾在高密度的可编程逻辑器件领域中独占鳌头。
FPGA
芯片
2020-03-04 19:01
1694
采用FPGA技术芯片模块实现运动估计的设计方案并
采用FPGA技术芯片模块实现运动估计的设计方案并进行仿真研究-运动估计主要是针对帧间预测,去除视频帧在空间域和时间域的冗余度。块匹配方法是目前编码效率较高,普遍采用的一种编码方法。首先,其要将当前帧进行划分。长期实践表明,将当前帧划分为多个16x16的块是比较合理的。其次,要根据划分出的当前块的具体情况,以及所采用的搜索方法来决定搜索窗口的大小。最后,要在所确定的搜索窗口里面,依据某种块匹配准则找到当前块的匹配块以及由匹配块到
FPGA
芯片
2020-03-04 19:02
1379
应用于FPGA器件中电源模块的选择及设计方案
应用于FPGA器件中电源模块的选择及设计方案-DPA的主要缺点是每个电源“砖”都包含隔离,这会降低效率,并增加尺寸、成本和复杂性。随着大多数DPA系统都使用了好几个砖,这个问题就变得非常重要。
FPGA
PA
2020-03-04 19:04
1152
利用可编程片上系统和视频模数转换芯片实现车
利用可编程片上系统和视频模数转换芯片实现车道偏离预警系统的设计-基于FPGA的车道偏离检测系统的硬件组成如图2所示。系统利用CCD摄像机采集车道图像,通过视频模数转换芯片ADV7181对获取的视频进行解码,得到数字视频信启,并将其存储于SDRAM中供LCD进行显示,并作为原始信息供之后的图像信号处理单元完成图像处理任务,从中提取车道信息。
芯片
FPGA
2020-03-04 19:04
1356
通过并行流水线结构实现直接型FIR滤波器的系统
通过并行流水线结构实现直接型FIR滤波器的系统设计方案-在用FPGA或专用集成电路实现数字信号处理算法时,计算速度和芯片面积是两个相互制约的主要问题。实际应用FIR滤波器时,要获得良好的滤波效果,滤波器的阶数可能会显著增加,有时可能会多达几百阶。因此,有必要在性能和实现复杂性之间做出选择,也就是选择不同的滤波器实现结构。这里运用并行流水线结构来实现速度和硬件面积之间的互换和折衷。
滤波器
FPGA
2020-03-04 19:08
1923
通过FPGA器件和RS编码器实现前向纠错系统的设计
通过FPGA器件和RS编码器实现前向纠错系统的设计方案-目前,无线产品的广泛应用使无线音频和视频的高质量传输成为可能。蓝牙、无限局域网等无线传输设备比较复杂,成本较高,急需开发一种简便的、仅用于流媒体的无线传输平台,将音频数据实时地发送到移动终端。由于音频数据的实时性,不宜采用反馈重传等造成很大时延的差错控制方式。前向纠错码(FEC)的码字是具有一定纠错能力的码型,它在接收端解码后不仅可以发现错误,而且能够判断错误码元所在的位置并自动纠错。这种纠错码信息不需要储存,不需要反馈,实时性好,故可选择前向纠错来实现差错控制。
编码器
FPGA
2020-03-04 19:09
1432
采用可编程器件和多相滤波实现数字正交混频的
采用可编程器件和多相滤波实现数字正交混频的设计方案-传统的正交下变频是通过对模拟I、Q输出直接采样数字化来实现的,由于I、Q两路模拟乘法器、低通模拟器本身的不一致性、不稳定性,使I、Q通道很难达到一致,并且零漂比较大,长期稳定性不好,不能满足高性能电子战设备的要求。为此,人们提出了对中频信号直接采样,经过混频来实现正交数字下变频的方案,这种下变频的方法可以实现很高精度的正交混频,能满足高镜频抑制的要求。采用可编程器件FPGA对该算法流程进行实现,能满足在高采样率下的信号时实处理要求,在电子战领域中有着重要的意义。
FPGA
高性能电子
2020-03-04 19:10
1464
专访中科亿海微魏育成:中国的FPGA也会有一席之
据了解,FPGA芯片的技术门槛非常高,一直处于美国公司的垄断之下。处于领跑地位的赛灵思在该领域深耕了30多年,积累了丰富的技术和经验,建立了完整的FPGA生态环境,与Intel、Lattice、Microsemi等公司一起形成了坚实的壁垒,具有绝对的技术优势。
FPGA
中国
2020-03-04 19:13
1634
FPGA的工作原理以及设计的基础问题分析
FPGA的工作原理以及设计的基础问题分析-FPGA(Field-Program mable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
FPGA
PLD
2020-03-05 18:51
836
通过mmap方式应用程序在Linux下访问物理地址提高
通过mmap方式应用程序在Linux下访问物理地址提高实时性-我们的一个项目中,系统由FPGA和ARM11结合为核心控制器,其中FPGA连接外部高速ADC、DAC和RF器件在ARM11的控制下,实现GB18000-6C标准的UHF RFID读写控制状态机。FPGA与ARM11的接口采用SPI,其中ARM11选用三星S3C6410,作为SPI的主机,FPGA作为SPI的从机,受S3C6410的控制。在本系统中,SPI接口充当ARM11和FPGA交互的桥梁,ARM11的命令和动作参数传给FPGA并启动FPGA处理状态机,FPGA动作的结果也通过SPI回传给ARM11,两者之间的通讯效率在系统中需要重点关注。
FPGA
ARM
2020-03-05 18:57
1191
FPGA+CPU助力数据中心实现图像处理应用体验与服务
FPGA+CPU助力数据中心实现图像处理应用体验与服务成本新平衡-目前,图片处理的需求正在快速成长,即源于用户生成内容,视频图片抓取等方式的图片缩略图生成,像素处理,图片转码、智能分析处理需求不断增加。
FPGA
CPU
2020-03-06 18:33
1402
MathWorks将加快支持FPGA和ASIC的验证速度
MathWorks将加快支持FPGA和ASIC的验证速度-MathWorks宣布,HDLVerifier从现已上市的Release2019b开始提供对UniversalVerificationMethodology(UVM)的支持。
ASIC
FPGA
2020-03-07 18:42
789
FPGA20年最有影响力的25个研究成果 – 其他CAD算法篇
与非网(eefocus)定位为电子技术门户网站和信息服务平台,专注于电子及半导体产业的市场动态和前沿技术,为相关厂商提供信息发布、技术社区等定制化服务,为电子工程师提供产业资讯、新品信息、技术资料和深度市场分析等精品内容。
FPGA
静态功耗
2020-03-09 12:21
930
基于紫光同创FPGA的EtherCAT方案可实现满足大面积
由于口罩生产涉及到张力控制、分切复合、裁切、成型、焊接、立式包装等多个工艺,要求生产设备控制系统具有高响应、高速通讯、高精度及高同步等特性,以满足口罩机高速及稳定生产需求。
紫光
FPGA
2020-03-09 18:45
877
紫光FPGA解决口罩难题!助力口罩全速生产
半导体行业观察:随着国内新冠肺炎疫情逐步得到控制,各行各业在有序复工,对口罩的需求猛增,近日,紫光同创联合客户共同推出了“内置2核处理器+FPGA”架构方案,能够有效提高口罩机产能
紫光
FPGA
2020-03-10 09:08
1723
全新可编程SoC架构,ARM和FPGA的互补
全新可编程SoC架构,ARM和FPGA的互补-目前,SoC芯片处在这样一个结构布局中,对于智能手机、平板等对功耗要求较高的领域,主要是ARM核作支撑,运算速度一般在几十MIPS左右。
ARM
FPGA
2020-03-10 18:50
1583
紫光国产2核处理器加FPGA芯片助力口罩机生产大增
最近一段时间来,口罩成了全球的热门话题,国内最紧缺的时刻已经过去了,现在日产能超过1亿只,反而是国外口罩10倍、20倍涨价。
紫光
FPGA
2020-03-10 19:13
1306
紫光FPGA战“疫”!助力口罩机全速生产
紫光国微子公司紫光同创响应政府号召,第一时间科学复工,积极配合多家国内知名工业控制厂商优化方案,全力保障客户产品稳定供应,以满足终端口罩机厂商对核心控制系统的迫切需求。
紫光
FPGA
2020-03-11 00:00
1196
FPGA芯片内部资源非常重要 设计时需要特别关注
FPGA芯片内部资源非常重要 设计时需要特别关注Serdes的供电-先说说我当年入门的经历吧。国内的大学有 FPGA 开发条件的实验室并不太多,当年大学的那帮同学有的做 ARM,有的做 linux,很少有人做 FPGA,当时学 FPGA 仅仅是由于非常渴望的好奇心。所以,在淘宝买了一块开发板,就开始了自己的 FPGA 之路。
FPGA
芯片
2020-03-11 18:26
1060
如何在数据中心部署深维FPGA+CPU图像处理解决方案
如何在数据中心部署深维FPGA+CPU图像处理解决方案-针对私有云部署,架构基于视频加速卡的硬件环境,服务器上层相应的操作系统以及虚拟化处理相结合,覆盖赛灵思驱动层、管理层工具等部分。
FPGA
CPU
2020-03-11 18:50
1437
基于VersaClock6系列的多输出可编程时钟发生器的应
基于VersaClock6系列的多输出可编程时钟发生器的应用研究-与仅仅几年前相比,当今的嵌入式产品已经变得更加复杂和更加先进。设计本身可包括一个FPGA以及一个单独的图形处理器(GPU),外加多个用于视频端接、USB、无线网络、以及高速有线以太网、工业Modbus或现场总线的连接端口。每个处理器以及相应的子系统都需要唯一的与其他时钟无关联的频率和类型,因此,它们需要自己的时钟信号。设计一个能产生这些彼此不同、具有所需精度性能的时钟系统,并将其分配到各自的负荷,是产品设计工程师所面临所有问题中的新挑战。
时钟发生器
FPGA
2020-03-12 18:53
1401
三栅极的应用优势及对高性能FPGA性能的影响以及
三栅极的应用优势及对高性能FPGA性能的影响以及-2013年2月,Altera公司与Intel公司共同宣布了Altera下一代最高性能FPGA产品的生产将独家采用Intel的14nm 3D Tri-Gate(三栅极)晶体管技术。这使得Altera成为当前采用最先进、最高性能半导体技术的独家专业FPGA供应商。本文介绍了三栅极及相关技术的历史与现状,以便了解三栅极技术对高性能FPGA性能的影响,以及其在数字电路速度、功率以及生产方面有何种程度的优势。
FPGA
Altera
2020-03-12 18:54
799
等效时间采样技术的原理作用及采用FPGA器件实现
等效时间采样技术的原理作用及采用FPGA器件实现系统的设计-在现代电子测量、通讯系统以及生物医学等领域,经常涉及对宽带模拟信号进行数据采集和存储,以便计算机进一步进行数据处理。为了对高速模拟信号进行不失真采集,根据奈奎斯特定理, 采样频率必须为信号频率的2 倍以上,但在电阻抗多频及参数成像技术中正交序列数字解调法的抗噪性能对信号每周期的采样点数决定,采样点数越多,抗噪性能越高。当采样信号频率很高时,为了在被采样信号的一周期内多采样,就需要提高采样时钟的频率,但是由于系统的ADC 器件时钟速率并不能达到要求的高频速率或者存储处理速度等不能满足要求因此我们可以采用低速ADC 器件通过等效时间采样来对宽带模拟信号进行数据采集从而使系统易于实现。
FPGA
ADC
2020-03-12 18:55
955
实用AGC算法的工作原理及在音频FPGA中的应用
实用AGC算法的工作原理及在音频FPGA中的应用-随着现代通信技术的广泛使用,通信企业问的竞争不断加剧,为提升自身的竞争优势,通信企业需要将其通信信号的质量提升,并提高通信系统各项指标的稳定性、安全性、高效性。在音频信号处理方法及FPGA实现中,采用AGC算法,可提高音频信号系统和音频信号输出的稳定性,解决了AGC调试后的信号失真问题。本文针对基于实用AGC算法的音频信号处理方法与FPGA实现,及其相关内容进行了分析研究。
FPGA
通信技术
2020-03-12 18:57
1926
基于FPGA的PSM实现解决企业级应用的闪存问题
基于FPGA的PSM实现解决企业级应用的闪存问题-系统管理人员发现,主要依靠硬盘介质的传统存储体系结构在性能上无法满足当今工作负载的需求。应用规划人员的反应是,采用整体分析方法对存储器进行规划,在企业领域结合了传统的存储介质和新介质——闪存。消费类器件一直以来采用的存储器介质是NAND闪存,在企业级应用中,其性能比硬盘驱动器(HDD)高出10至100倍。闪存也是高性价比的非易失存储介质,常用于数据应用中。通过使用闪存阵列,企业能够大幅度减小存储体积、CPU的数量以及软件许可数量,从而降低了数据中心运行所需的总功耗、空间和运营成本。
闪存
FPGA
2020-03-12 18:58
1483
赛灵思正式推出Versal Premium 可提供比当前FPGA高达
2018年10月16日,FPGA大厂赛灵思(Xilinx)在北京的“Xilinx开发者大会 ”(XDF)上,发布了全球首款自适应计算加速平台(ACAP)芯片系列Versal,并发布了AI Core系列和Prime系列。去年,这两个系列产品也已经成功推向了市场。
赛灵思
FPGA
2020-03-12 19:04
2079
[原创] 不止FPGA,Xilinx在数据中心市场还有杀手锏
半导体行业观察:在2018年初出任Xilinx CEO之后,Victor Peng就给FPGA巨头定下了三大战略,分别是数据中心加速、加速主流市场的发展以及推出 ACAP.
FPGA
数据中心
2020-03-13 09:06
原创
1394
基于PEX8311芯片和FPGA器件实现高速图像数据系统的
基于PEX8311芯片和FPGA器件实现高速图像数据系统的设计-图像采集和处理技术在机器视觉和图像分析等诸多领域应用十分广泛。随着高速的PCI Express(PCIE)总线的出现,基于PCIE接口的高速数据采集卡将在数据传输和处理量很大的场合发挥越来越重要的作用。
FPGA
芯片
2020-03-13 18:20
1031
产业2.0趋势下,FPGA与IC设计未来不可或缺
近日,作为拥有国际领先的FPGA算法的公司,智芯融对卫星关键组成部分进行难点攻关,完美的完成了任务。
FPGA
IC设计
2020-03-13 18:50
1055
调整FPGA管脚之前 需要注意以下事项
调整FPGA管脚之前 需要注意以下事项-随着 FPGA 的不断开发,其功能越来越强大,也给其布线带来了很大的便捷性—管脚的调整。
FPGA
PCB
2020-03-13 19:02
1086
嵌入式FPGA怎样既简单又快速的实现
嵌入式FPGA怎样既简单又快速的实现-尽管在 SoC 中嵌入 FPGA 总能为设计者带来不错的设计想法,但对于 FPGA 供应商而言,要实现这个愿望并不容易。
FPGA
嵌入式
2020-03-13 19:05
1337
FPGA首次集成光子芯片,带宽高达5.12 Tbps
FPGA首次集成光子芯片,带宽高达5.12 Tbps-高性能计算需要高性能I/O。一段时间以来,业界一直在努力改进高带宽的远程解决方案。去年Intel和Xilinx都推出了56G I/O的FPGA。
FPGA
芯片
2020-03-14 18:45
1313
基于FPGA的模拟表头测试系统设计
光纤陀螺是激光陀螺的一种,是惯性技术和光电子技术紧密结合的产物。它利用Sagnac干涉效应,用光纤构成环形光路,并检测出随光纤环的转动而
光纤
FPGA
2020-03-15 00:00
1548
自己革自己的命?赛灵思推出旗舰级ACAP平台Versal Premium
“Versal Premium 系列具备高度集成且功耗优化的网络硬核,是业界带宽最高、计算密度最高的自适应平台。Versal Premium 专为在散热条件
赛灵思
FPGA
2020-03-16 00:00
1647
我国国产FPGA进入日本市场 进一步扩展了全世界销
我国国产FPGA进入日本市场 进一步扩展了全世界销售网络-作为全世界发展趋势最快的FPGA(可编程逻辑)企业,广东省高云半导体今日宣布,早已跟日本丸文株式会社签约,并成为其日本经销商,进一步帮助其扩展全世界销售网络。
日本
FPGA
2020-03-16 18:22
1144
高速PCB设计的基本概念解析
高速PCB设计的基本概念解析-绝大多数PCB是精通PCB器件的工作原理和相互影响以及构成电路板输入和输出的各种数据传输标准的原理图设计师与可能知道一点甚至可能一点也不知道将小小的原理图连线转换成印刷电路铜线后将会发生什么的专业版图设计师相互合作的成果。
PCB
FPGA
2020-03-16 18:34
921
FPGA+x86可以将时延的精度控制在2.5ns数量级别
FPGA+x86可以将时延的精度控制在2.5ns数量级别-以太网的接口速率也是迅速发展:10M、100M、GE、10GE、40GE、100GE,到目前逐步成熟的2.5GE、5GE、25GE、50GE甚至400GE,基本是每10年速率10倍增长的发展趋势。
GE
FPGA
2020-03-16 18:48
1548
STM32通过FSMC读写FPGA
硬件平台:icore板(STM32F103VC + EP4C6E22C8)STM32F103VC是100管脚的,FSMC引脚定义:地址仅有A19-23A16-18共8根地址线,数据线有16根
STM32
FPGA
2020-03-17 00:00
1384
紫光新款28nm FPGA上市,为何没有掌声雷动?
与非网(eefocus)定位为电子技术门户网站和信息服务平台,专注于电子及半导体产业的市场动态和前沿技术,为相关厂商提供信息发布、技术社区等定制化服务,为电子工程师提供产业资讯、新品信息、技术资料和深度市场分析等精品内容。
FPGA
28nm
2020-03-17 21:23
5617
紫光同创推首款28nm Logos-2 FPGA,性能提升50% 功耗降低40%
与非网(eefocus)定位为电子技术门户网站和信息服务平台,专注于电子及半导体产业的市场动态和前沿技术,为相关厂商提供信息发布、技术社区等定制化服务,为电子工程师提供产业资讯、新品信息、技术资料和深度市场分析等精品内容。
Logos
FPGA
2020-03-18 14:10
1962
5G边缘场景的多样化 将驱动算力产业进入FPGA时代
5G边缘场景的多样化 将驱动算力产业进入FPGA时代-在半导体的历史上,FPGA在设计实现和电子系统中扮演着重要的角色。这就是为什么我们在《Fabless:半导体工业的转型》一书中加入了FPGA的历史,并在2019年版中增加了关于Achronix历史的新章节。
FPGA
5G
2020-03-18 18:23
1656
赛灵思旗舰级ACAP平台Versal Premium可助力实现最高
近日,赛灵思推出 Versal ACAP 产品组合第三大产品系列—— Versal Premium。在发布会上,赛灵思大中华区销售副总裁唐晓蕾(Maria Tang)女士、赛灵思产品线营销与管理高级总监Sumit Shah与赛灵思高端 ACAP 与 FPGA 高级产品线经理Mike Thompson先生,共同接受了EEWorld记者的采访。
赛灵思
FPGA
2020-03-18 18:24
1662
紫光同创28nm工艺千万门级Logos-2系列FPGA首发上市
紫光国微子公司紫光同创强势推出Logos-2系列高性价比FPGA第一款产品PG2L100H及其全套自主软件和IP方案。
Logos
FPGA
2020-03-19 00:00
2566
FPGA+x86构建高性能的国产网络测试仪竞技之道
FPGA+x86构建高性能的国产网络测试仪竞技之道-众所周知,以太网已经深入我们的生活无处不在,企业、校园、大数据中心和家庭等都离不开网络,否则我们的生活将受到严重的影响。
FPGA
数据中心
2020-03-19 18:42
1368
根据结构特点和工作原理来辨别FPGA与CPLD的区别
根据结构特点和工作原理来辨别FPGA与CPLD的区别-FPGA与 CPLD的辨别和分类主要是根据其结构特点和工作原理。通常的分类方法是:将以乘积项结构方式构成逻辑行为的器件称为CPLD。
PLD
FPGA
2020-03-24 18:52
1131
Zebra软件平台让FPGA深度学习推理不再复杂
全球领先的技术解决方案提供商安富利亚洲和AI软件领域的创新企业Mipsology宣布,安富利将向其亚太区客户推广和销售Mipsology的Zebra软件平
安富利
FPGA
2020-03-25 00:00
1095
瑞萨电子推出面向Xilinx FPGA和SoC的电源管理IC(
瑞萨电子推出面向Xilinx FPGA和SoC的电源管理IC(PMIC)参考设计-采用BGA封装的ISL91211AIK和ISL91211BIK PMIC更易用于参考设计,缩短工业与运算类应用产品上市时间。
瑞萨电子
FPGA
2020-03-25 18:41
1805
安富利将在亚太区提供Mipsology的突破性FPGA深度学
安富利将在亚太区提供Mipsology的突破性FPGA深度学习推理加速软件-安富利首款采用该解决方案的产品,将是基于Zebra的赛灵思Alveo数据中心加速器卡。未来产品的范围有望扩大。
安富利
FPGA
2020-03-25 18:51
1006
贸泽电子与Zipcores签署全球分销协议
专注于引入新品推动行业创新的电子元器件分销商贸泽电子 (Mouser Electronics) 宣布与Zipcores签署全球分销协议。该公司设计了用于FPGA
贸泽电子
FPGA
2020-03-25 00:00
1455
ARM与FPGA沟通的桥梁—米尔PYNQ开发板
前言:PYNQ全称为Python Productivity for Zynq,即在Zynq全可编程ARM&FPGA融合处理架构的基础上,添加了对Python的支持。PYNQ希望能够
FPGA
ARM
2020-03-25 00:00
1628
[原创] 国产FPGA跨入28nm,意味着什么?
半导体行业观察:近日紫光同创率先打响了国产28nm FPGA的第一枪,这是一个好的开始,也是一个较正面的信号。为什么是28nm呢?
28nm
FPGA
2020-03-26 09:07
原创
1470
米尔PYNQ开发板来了
米尔PYNQ开发板来了-PYNQ全称为Python Productivity for Zynq,即在Zynq全可编程ARM&FPGA融合处理架构的基础上,添加了对Python的支持。
Python
FPGA
2020-03-26 18:52
1184
百度联合米尔推出基于FPGA可伸缩计算架构的FZ3深
基于 Xilinx Zynq UltraScale+ MPSoC 的 EdgeBoard核心加速方案是百度AI加速平台的关键组成部分。其Zynq芯片内部集成ARM处理器+GPU+FPGA(及Video Decode)的架构,既具有多核处理能力、也有视频流硬解码处理能力,还具有FPGA的可编程的特点。内置Linux 4.14.0系统和深度学习预装环境,与百度大脑模型定制平台(AIStudio、EasyDL、EasyEdge)深度打通,实现模型的训练、部署、推理等一站式服务。
FPGA
百度
2020-03-31 18:20
1832
可编程FPGA将深入发展医疗电子设备的开发应用
可编程FPGA将深入发展医疗电子设备的开发应用-早在智慧医疗、IoT物联网整合热潮发展之前,在医疗设备、生理监控等电子装置中,早已导入FPGA(Field-programmable gate array)核心组件进行设备的功能设计。
FPGA
医疗电子
2020-03-31 18:47
997
HLS将在这个市场走向主流?
半导体行业观察:在早期,我们将该技术称为“行为综合”(behavioral synthesis),因为它依靠分析电路的期望行为来创建结构描述,而不是简单地从较高级别的结构描述转换为较低级别的结构描述。
FPGA
RTL
2020-04-01 09:13
1168
英特尔最强FPGA的全面解读
半导体行业观察:详细解读平均频率500MHz,峰值超900MHz的FPGA,是如何炼成的
FPGA
英特尔
2020-04-01 09:13
2121
关于FPGA设计,它的主要应用包括哪些方面
关于FPGA设计,它的主要应用包括哪些方面-FPGA技术是一个实现手段在这个领域,FPGA因为具备接口,控制,功能IP,内嵌CPU等特点有条 件实现一个构造简单,固化程度高,功能全面的系统产品设计将是FPGA技术应用最广大的市场。
FPGA
CPU
2020-04-01 18:41
1476
14倍性能提升浪潮FPGA加速方案,将更有效应对读
14倍性能提升浪潮FPGA加速方案,将更有效应对读图时代-当前世界已进入“读图”时代,图片数据占用了互联网数据中心巨大的存储资源,为此谷歌推出压缩比更高的WebP图像格式,但由于算法更复杂,WebP图像的转码时间更长。
FPGA
数据中心
2020-04-02 18:44
1741
性能巅峰:Agilex FPGA架构强化全解读
与非网(eefocus)定位为电子技术门户网站和信息服务平台,专注于电子及半导体产业的市场动态和前沿技术,为相关厂商提供信息发布、技术社区等定制化服务,为电子工程师提供产业资讯、新品信息、技术资料和深度市场分析等精品内容。
FPGA
寄存器
2020-04-04 09:45
1326
基于FPGA的虚拟DPO设计方案
项目概述1 1项目背景示波器(Oscilloscope)是一种能够显示电压信号动态波形的电子测量仪器。它能够将时变的电压信号转换为时域上的曲线,
FPGA
示波器
2020-04-05 00:00
1452
为何高端FPGA都非常重视软件
半导体行业观察:Xilinx表示,他们所有的硬件加速库都在GitHub上发布,其运行时XRT也已开源。
FPGA
开源
2020-04-06 10:50
1512
高云半导体的蓝牙FPGA模组获得欧盟CE认证
中国广州-全球增长最快的可编程逻辑公司广东高云半导体科技股份有限公司(以下简称“高云半导体”)的BLE(Bluetooth Low Energy Radio
蓝牙
FPGA
2020-04-07 00:00
2467
新基建迸发“新力量”,紫光FPGA为工业互联发展积势蓄能
展望2020年,紫光国微将持续完善FPGA产业布局,发挥公司在半导体领域的技术优势,提供更具竞争力的产品、服务及解决方案,为推动工业互联网、数据中心等在内的新基建高质量发展积势蓄能。
FPGA
紫光
2020-04-14 00:00
1444
FPGA 中的 StarBleed 漏洞,可能会影响到赛灵思
半导体行业观察:StarBleed漏洞会影响Xilinx(Spartan,Artix,Kintex,Virtex)的7系列以及早期版本Virtex-6的FPGA。
FPGA
赛灵思
2020-04-19 09:58
2264
高云半导体安全FPGA系列推进嵌入式产品安全开发
全球极具创新性的可编程逻辑器件供应商—广东高云半导体科技股份有限公司(以下简称“高云半导体”)在2019年发布了的安全FPGA系列产品(Se
FPGA
嵌入式
2020-04-21 00:00
4535
BittWare 推出新型 TeraBox FPGA 加速边缘服务器
Molex旗下BittWare 公司是企业级 FPGA 加速器产品领域一家领先的供应商,现推出全新的 TeraBox 200DE 边缘服务器。
FPGA
加速器
2020-04-21 14:12
1823
紫光同创、ALINX强强联合,发布国产入门级FPGA开发套件
近日,国产FPGA芯片龙头企业紫光同创联合国内知名的FPGA方案提供商ALINX(芯驿电子)共同推出国产入门级FPGA开发套件,开发板加下载器套餐
FPGA
紫光
2020-04-23 00:00
1305
FPGA惊天大漏洞细节全解读
半导体行业观察:今年4月,来自德国的研究者披露了一个名为“StarBleed”的漏洞,它存在于赛灵思的Virtex、Kintex、Artix、Spartan 等全部7系列FPGA中。
FPGA
赛灵思
2020-04-24 08:58
1516
联捷科技获得A+轮融资
FPGA加速技术与解决方案提供商联捷科技 (CTAccel Limited)宣布完成A+轮融资,投资方为君盛投资,青桐资本为本轮的投资顾问。本轮资金将主要用于产品的进一步研发及市场拓展。
FPGA
异构计算
2020-04-24 09:04
1747
紫光同创与ALINX强强联合,为促进国产FPGA发展进步赋能
与非网(eefocus)定位为电子技术门户网站和信息服务平台,专注于电子及半导体产业的市场动态和前沿技术,为相关厂商提供信息发布、技术社区等定制化服务,为电子工程师提供产业资讯、新品信息、技术资料和深度市场分析等精品内容。
FPGA
紫光
2020-04-24 14:15
2061
赛灵思谈美国对中国FPGA禁运传闻
半导体行业观察:日前,赛灵思发布2020财年的财报。财报指出,2020年第四季度,赛灵思实现营收7.56亿美元,同比下降8.7%,环比增长4.5%,毛利率70.8%,较上年同期增长3.3%,营业利润率为23.5%
赛灵思
FPGA
2020-04-26 09:17
2695
FPGA惊爆无解漏洞 - “StarBleed”技术细节全解读
与非网(eefocus)定位为电子技术门户网站和信息服务平台,专注于电子及半导体产业的市场动态和前沿技术,为相关厂商提供信息发布、技术社区等定制化服务,为电子工程师提供产业资讯、新品信息、技术资料和深度市场分析等精品内容。
FPGA
赛灵思
2020-04-24 15:40
1548
一个FPGA工程师的成长之路
一个FPGA工程师的成长之路
FPGA
中兴
2020-05-06 08:59
1057
Xilinx推出了一款性能暴增的FPGA
半导体行业观察:赛灵思(Xilinx)推出了耐辐射的Kintex UltraScale可编程芯片,该芯片可用于卫星和其他太空硬件。
芯片
FPGA
2020-05-20 09:17
1758
Microchip推出用于AI边缘推理的PolarFire FPGA开发套件
Microchip旨在通过名为VectorBlox Accelerator软件开发套件(SDK)产品,帮助开发人员使用其PolarFire FPGA创建用于边缘的基于覆盖的神经
FPGA
Microchip
2020-05-20 00:00
1133
国微思尔芯推全球首款FPGA验证仿真云系统,为日益复杂的SoC验证赋能
与非网(eefocus)定位为电子技术门户网站和信息服务平台,专注于电子及半导体产业的市场动态和前沿技术,为相关厂商提供信息发布、技术社区等定制化服务,为电子工程师提供产业资讯、新品信息、技术资料和深度市场分析等精品内容。
FPGA
国微
2020-05-21 14:16
1206
国微思尔芯发布全球首款FPGA验证仿真云系统Prodigy Cloud System
国微思尔芯(“S2C”), 全球领先的前端电子设计自动化 (EDA) 供应商, 发布全球首款FPGA验证仿真云系统 Prodigy Cloud System。
FPGA
国微
2020-05-22 00:00
1758
Teledyne e2v高速数据转换平台可与Xilinx最新FPGA器件完美相融
为了辅助Xilinx热门产品20nm Kintex UltraScale KU060 FPGA,Teledyne-e2v现在可提供高度优化的多通道模数转换器(ADC)和数模转换器(
FPGA
20nm
2020-05-22 00:00
1370
从58Gbps到2Tbps:FPGA光子芯粒对传统收发器的降维打击
与非网(eefocus)定位为电子技术门户网站和信息服务平台,专注于电子及半导体产业的市场动态和前沿技术,为相关厂商提供信息发布、技术社区等定制化服务,为电子工程师提供产业资讯、新品信息、技术资料和深度市场分析等精品内容。
收发器
FPGA
2020-05-24 09:47
2141
赛灵思发布业界首款20nm FPGA,为卫星和太空应用提供更强的辐射耐受能力
与非网(eefocus)定位为电子技术门户网站和信息服务平台,专注于电子及半导体产业的市场动态和前沿技术,为相关厂商提供信息发布、技术社区等定制化服务,为电子工程师提供产业资讯、新品信息、技术资料和深度市场分析等精品内容。
FPGA
赛灵思
2020-05-25 15:22
2036
响应FPGA发展,Teledyne进一步增强数据转换器产品组合
为响应可编程逻辑技术的不断发展,Teledyne e2v进一步增强了其数据转换器产品组合以及支持它们运作的高速SERDES技术。为了辅助Xilinx热门
数据转换器
FPGA
2020-05-26 00:00
865
动态丨打破传统,S2C发布全球首款FPGA验证仿真云系统
与非网(eefocus)定位为电子技术门户网站和信息服务平台,专注于电子及半导体产业的市场动态和前沿技术,为相关厂商提供信息发布、技术社区等定制化服务,为电子工程师提供产业资讯、新品信息、技术资料和深度市场分析等精品内容。
FPGA
国微
2020-05-28 11:27
1183
打破传统,S2C发布全球首款FPGA验证仿真云系统
FPGA验证仿真云系统的建设成为一种趋势,在FPGA验证仿真云系统上可执行大规模的回归测试和兼容性测试, 不受地域和时间的限制,让硬件资源得到有效的利用。
FPGA
SoC设计
2020-05-28 17:10
1582
深维科技加入元脑生态,携手浪潮打造FPGA高性能图像处理方案
近日,浪潮与北京深维科技有限公司签署元脑生态战略合作协议,双方将联合打造基于FPGA的高性能图像处理解决方案,共同为AI方案的行业落地和智算中心高效运营扫清最后一公里障碍。
FPGA
图像处理
2020-05-28 14:04
1057
FPGA老三过得如何?
半导体行业观察:作为全球第三大的FPGA供应商,Lattice在中低容量的FPGA市场拥有很强的号召力。让我们通过该公司最新一季度的财务会议来深入了解其近期业务情况。
FPGA
Lattice
2020-05-29 09:33
1141
Efinix宣布推出三款RISC-V内核处理器
Efinix日前宣布推出三款软件定义的,基于RISC-V的内核,分别为Ruby、Jade和Opal。这三种设计已经在Efinix的Trion系列FPGA进行了优化,并提
FPGA
加速器
2020-06-03 00:00
1489
加速FPGA应用开发,Lattice Propel™全新软件解决方案问市
全球领先的低功耗可编程器件供应商莱迪思半导体公司(NASDAQ:LSCC)宣布,推出全新软件解决方案Lattice Propel™,以加速开发基于莱迪思
Lattice
FPGA
2020-06-04 00:00
1015
[原创] 安路科技助力口罩机换芯,工业控制FPGA国产替代加速
半导体行业观察:安路所提供的口罩机方案具有无以伦比的精确性和可靠性,再一次演示了中国制造之威。如今国内80%的口罩产能都有安路的器件在背后默默支持。
FPGA
安路科技
2020-06-05 08:53
原创
1524
NOKIA进一步抛弃FPGA?
半导体行业观察:据外媒报道,在于Marvell和Intel建立了合作之后,诺基亚昨日宣布,在5G基站芯片方面,又多了一个新的供应商,那就是美国厂商博通。
FPGA
诺基亚
2020-06-16 08:29
1831
[原创] FPGA与ASIC战火重燃
半导体行业观察:本周,诺基亚宣布与博通公司合作进行5G芯片的研发工作,为其5G产品打造包括处理器在内的定制芯片组,以使其供应链多元化。
FPGA
ASIC
2020-06-18 09:24
原创
1593
低功耗、小尺寸、高可靠,Lattice Certus™-NX系列问市
莱迪思半导体公司(NASDAQ:LSCC),低功耗可编程器件的供应商宣布推出全新Lattice Certus™-NX系列FPGA。该系列器件在通用FPGA市场上拥有
Lattice
FPGA
2020-06-29 00:00
941
莱迪思推出第二代FD-SOI技术的Certus-NX
莱迪思半导体公司日前宣布推出全新Lattice Certus-NX系列FPGA。该系列器件在通用FPGA市场上拥有领先的IO密度,每平方毫米的IO密度最高可
FPGA
莱迪思
2020-07-01 00:00
1366
[原创] 两大FPGA巨头的AI技术路线浅析
半导体行业观察:近日Intel发布了Stratix 10 NX FPGA,标志着Intel公司在FPGA领域的人工智能“落地计划”。
FPGA
Intel
2020-07-01 09:08
原创
1207
赌错FPGA的Nokia如何扭转5G大局?
半导体行业观察:在全球5G至高无上的竞赛中, 诺基亚赌错了计算机芯片。
5G
FPGA
2020-07-07 08:52
1755
易灵思 Trion® Titanium FPGA 系列问市, 实现3 倍性能提升
Trion Titanium FPGA是基于16纳米工艺节点,并采用易灵思的 “Quantum™ 计算架构”。“Quantum计算架构”是受到了易灵思第一代Trion
FPGA
16纳米
2020-07-16 00:00
1727
Efinix推出全新一代Trion Titanium系列FPGA
Efinix日前宣布推出Trion Titanium系列FPGA,受Efinix第一代Trion FPGA基础量子结构的启发,量子(Quantum)计算结构在其可交换逻辑和路
FPGA
16nm
2020-07-17 00:00
1100
扩充产品线,莫仕旗下 BittWare加入开放计算 M.2 加速器模块
莫仕(Molex)旗下BittWare公司是企业级FPGA加速器产品领域一家领先的供应商,现正式发布250-M2D加速器模块。这种基于FPGA的计算存储处理器(C
加速器
FPGA
2020-07-27 00:00
821
一场由FPGA触发的芯片战争
现场可编程门阵列(FPGA)引发的触动。
FPGA
芯片
2020-07-28 09:17
1297
莱迪思Certus™-NX加速FPGA快速渗透新市场
Certus™-NX是莱迪思Nexus技术平台上的第二款产品,它将为更广泛的应用带来FD-SOI工艺的优势。这些通用FPGA提供低功耗、小尺寸和灵活的I O
莱迪思
FPGA
2020-07-29 00:00
1003
Xilinx上季度利润大跌61%
半导体行业观察:日前,FPGA巨头Xilinx发布了其2021财年Q1的财报,根据GAAP财报显示,公司该季度的营收为7.27亿美元,与上一季度的7.56亿美元相比,环比下跌了4%。
GAAP
FPGA
2020-07-31 09:34
1891
ARM和CPLD以及FPGA的技术特点和区别
在嵌入式开发领域,arm是一款非常受欢迎的微处理器,其市场覆盖率极高,DSP和FPGA则是作为嵌入式开发的协处理器,协助微处理器更好的实现产
FPGA
微处理器
2020-08-03 00:00
1369
基于FPGA的ARM并行总线和端口设计
通过EP2C20Q240器件和LPC2478处理器,研究ARM应用系统外部并行总线的工作原理和时序特性,以及在FPGA中进行双向总线设计的原则,设计并实现
FPGA
ARM
2020-08-03 00:00
999
莱迪思FPGA器件让笔记本电脑重获生机
COVID-19新冠病毒的爆发让人们的工作状态发生了巨大变化,笔记本电脑市场也因此繁荣了起来。早在病毒蔓延全球之前,行业分析公司Gartner就
莱迪思
FPGA
2020-08-05 00:00
1339
莱迪思FPGA让安霸CVflow架构释放更强性能
低功耗可编程器件的领先供应商莱迪思半导体公司宣布,安霸公司(Ambarella)(NASDAQ:AMBA)选择莱迪思ECP5™ FPGA为Ambarella CVflow®
FPGA
莱迪思
2020-08-06 00:00
1658
一种使用ARM+FPGA高速访问USB设备的设计方案详解
引言目前FPGA通过USB接口获取USB设备中数据的方案大致分为两大类,一类为在FPGA内部实现USB设备控制,另一类为在FPGA外部实现USB设备控制。
FPGA
ARM
2020-08-07 00:00
2329
高云半导体的低功耗μSOC FPGA蓝牙模块通过韩国认证
高云半导体
FPGA
2020-08-12 14:00
3965
高云半导体低功耗μSOC FPGA蓝牙模块已通过韩国认证
广东高云半导体科技股份有限公司(如下简称:高云半导体)的GW1NRF-4 µSoC FPGA BLE模块获得韩国蓝牙认证,从而使得开发人员能够轻松快
蓝牙
FPGA
2020-08-12 00:00
2169
基于ARM的FPGA从串配置方案设计适用于嵌入式系统的应用
1 、引言ARM(AdvancedRISCMachines)既可以认为是一个公司。也可以认为是对一类微处理器的统称,还可以认为是一项技术。基于ARM技术的微
ARM
FPGA
2020-08-13 00:00
1193
FPGA芯片厂积极拥抱开源
半导体行业观察:日前,eFPGA供应商Quicklogic宣布,将加入致力于推动通用和开放式硬件的脸呢个CHIPS 联盟。
开源
FPGA
2020-08-13 09:28
1215
技术文章:详解FPGA如何实现FP16格式点积级联运算
摘要:通过使用Achronix Speedster7t FPGA中的机器学习加速器MLP72,开发人员可以轻松选择浮点 定点格式和多种位宽,或快速应用块浮点,
FPGA
加速器
2020-08-14 00:00
1572
FPGA领衔,嵌入式系统市场将超过1600亿美元
半导体行业观察:根据市场研究公司Global Market Insights的最新研究 ,嵌入式系统市场已成为近年来最赚钱的垂直行业之一。
嵌入式系统
FPGA
2020-08-15 09:22
1879
Profibus-DP主站通信平台的设计方案
引言Profibus-DP是由西门子公司推出的一种开放式现场总线标准,用于现场级分布式自动化外设之间的高速数据传输。Profibus在2007年4月突破20
ARM
FPGA
2020-08-18 00:00
1606
Xilinx公布Versal Premium更多细节
Xilinx在Hot Chips 2020上展示了更多关于Versal Premium的信息。Xilinx Versal Premium概述Xilinx Versal Premium其中一个重要的特
FPGA
SerDes
2020-08-19 00:00
2055
莱迪思 Crosslink-NX FPGA在工业摄像头平台上大显身手
低功耗可编程器件的领先供应商莱迪思半导体公司宣布,CVCAM选择莱迪思CrossLink™-NX FPGA为其索尼iMX344传感器的全新百万级像素工业摄像
莱迪思
FPGA
2020-08-20 00:00
1382
基于S3C2440与EP2S15芯片实现靶场破片测速系统的设计
0 引言破片速度是战斗部爆炸效能评估的一个重要参数。传统的靶场破片测速系统多使用多路数据采集卡设置好的参数现场采集标靶的试验波形,
芯片
FPGA
2020-08-21 00:00
1325
如何利用NoC资源去支撑FPGA中的创新设计
日益增长的数据加速需求对硬件平台提出了越来越高的要求,FPGA作为一种可编程可定制化的高性能硬件发挥着越来越重要的作用。近年来,高端FP
FPGA
NoC
2020-08-21 00:00
1451
三分钟了解 ARM、DSP及FPGA的区别
ARM(AdvancedRISCMachines)是微处理器行业的一家知名企业,设计了大量高性能、廉价、耗能低的RISC处理器、相关技术及软件。ARM架构是面向
ARM
FPGA
2020-08-28 00:00
1842
啃下硬骨头实现市场突破,安路科技怎样用FPGA突围工业4.0
8月27日,在2020世界半导体论坛上,安路科技获评2019年度中国IC独角兽。这是安路科技蝉联FPGA 独角兽荣誉的第二年。伴随新一代信息技术的
安路科技
FPGA
2020-08-29 00:00
1611
数字信号处理架构下FPGA,ARM,DSP的对比
从数字信号处理架构来对比FPGA,ARM,DSP的优势,使用Theano,Python,PYNQ和Zynq开发定点Deep Recurrent神经网络,如何在 Zynq UltraSc
数字信号处理
FPGA
2020-09-03 00:00
1059
国微思尔芯推出配备4颗Stratix 10GX的原型验证系统
国微思尔芯推出新原型验证系统 Quad 10M Prodigy Logic System,配备了四颗Stratix 10 GX 10M FPGA。Stratix 10 GX 10M是世界
国微
FPGA
2020-09-10 00:00
1561
硬件仿真工具首次超越软件Simulation的原因是什么
在过去的两年里,EDA领域发生着一件需要引起重视但似乎没人关注的动向:硬件验证工具(基本上是硬件仿真和基于FPGA的原型验证)的收入超过
Si
FPGA
2020-09-10 00:00
1630
莱迪思CrossLink-NX系列FPGA以渗透进嵌入式视觉系统中
低功耗可编程器件的领先供应商莱迪思半导体公司(NASDAQ:LSCC)今日宣布,CrossLink™-NX-17 FPGA现已上市!CrossLink-NX FPGA具有低功
FPGA
莱迪思
2020-09-11 00:00
1870
一种基于ARM+FPGA的高精度数据采集系统设计
1、引言随着图像处理、工业控制、无线通信等领域的飞速发展,对数据采集系统的速度、精度等性能要求也越来越高。这些要求都对数据采集系统
FPGA
ARM
2020-09-14 00:00
1154
重温全球第一颗FPGA的颠覆性设计
半导体行业观察:现场可编程门阵列(FPGA)可以实现任意数字逻辑:从微处理器到视频生成器或加密矿机,一应俱全。
FPGA
微处理器
2020-09-17 09:10
1371
莱迪思MachXO3LF™ FPGA让自动驾驶在严酷环境依然保持优秀性能
低功耗可编程器件的领先供应商莱迪思半导体公司宣布,推出MachXO3LF™ FPGA和MachXO3D™ FPGA的全新版本,分别用于灵活部署可靠的汽车控
Mac
FPGA
2020-09-17 00:00
988
智多晶贾红:国产FPGA将迎来黄金发展时代
“如今几年的芯片黄金发展期,使我国FPGA产业从空白到与美国只有两代差距,包括智多晶、安路、紫光同创、高云等多家FPGA公司诞生并发展。”
FPGA
智多晶
2020-09-23 00:00
1655
FPGA的历史,地位和未来
半导体行业观察:自FPGA诞生以来,FPGA(现场可编程门阵列)就引起了人们的关注。在1980年代中期,Ross Freeman和他的同事从Zilog购买了该技术,并创建了Xilinx,目标是ASIC仿真和教育市场。
FPGA
ASIC
2020-09-28 09:09
1528
给我一个FPGA,可以撬起所有显示的接口和面板
作为FPGA的发明者——赛灵思,手握极具灵活性、高性能的FPGA技术,似乎看别的芯片都有一种嫌弃不够畅快的感觉。当瞄上显示领域时,就会发出来自心底的一问:“一个FPGA就能解决的事,为什么要那么多AS
FPGA
2020-09-28 18:37
1435
复旦微科创板招股书中透露的FPGA实力
半导体行业观察:9月30日,上海复旦微电子集团股份有限公司在上交所科创板板提交了其首份招股书。
科创板
FPGA
2020-10-01 09:47
3413
[原创] 给我一个FPGA,可以撬起所有显示的接口和面板
作为FPGA的发明者——赛灵思,手握极具灵活性、高性能的FPGA技术,似乎看别的芯片都有一种嫌弃不够畅快的感觉。当瞄上显示领域时,就会发出来自心底的一问:“一个FPGA就能解决的事,为什么要那么多ASIC/ASSP?”
FPGA
赛灵思
2020-10-06 12:43
原创
1965
基于ARM和FPGA的多路电机控制方案
介绍了一种基于fpga的多轴控制器,控制器主要由arm7(LPC2214)和fpga(EP2C5T144C8)及其外围电路组成,用于同时控制多路电机的运动。利用Veri
FPGA
控制器
2020-10-09 00:00
1757
回顾FPGA的三个时代
半导体行业观察:昨天,在华尔街日报爆出AMD将收购Xilinx之后,市场上关于FPGA的讨论又多了起来。为此,我们分享一篇我们之前发过的文章,帮助大家了解一下FPGA这个已经面世三十多年的产品。
AMD
FPGA
2020-10-10 09:48
1945
这个FPGA在示波器中的作用是什么?
背景:示波器是我们在物理试验、电路硬件调试、智能硬件开发等领域都能见到的一种仪器,就像是医生的听诊器,作为硬件研发工程师都要用的溜
示波器
FPGA
2020-10-10 00:00
1374
Xilinx7系列里的Multiboot介绍
在远程更新的时候,有时候需要双镜像来保护设计的稳定性。在进行更新设计的时候,只更新一个镜像,另一个镜像在部署之前就测试过没问题并不再更新。当更新出错时,通过不被更新的镜像进行一些操作,可以将更新失败的数据重新写入Flash。这样即使更新出错,也能保证设计至少可以被远程恢复。
ROG
FPGA
2020-10-12 15:21
1026
如何将程序写到flash中去
将数据写到外挂的flash中。2重新启动FPGA配置。 不过要做到远程升级,一般需要在原始程序中就考虑到加入远程升级模块,remote updata IP, 在升级的时候才可以启动远程升级功能。
FPGA
EPC
2020-10-12 15:21
1159
当AI遇到FPGA,低功耗智能探测系统不再是难题
当AI遇到FPGA,低功耗智能探测系统不再是难题-从家庭控制中智能门铃和安全摄像头的存在检测,到零售应用中用于库存的对象计数,再到工业应用中物体和存在检测,越来越多的网络边缘应用正在不断推动新型AI解决方案面市。
FPGA
AI
2020-10-12 15:23
1291
FPGA的硬件设计技巧和流程
数字集成电路的发展历史,经历了从电子管、晶体管、小规模集成电路到大规模以及超大规模集成电路等不同的阶段。发展到现在,主要有3类电子器件:存储器、处理器和逻辑器件。
FPGA
集成电路
2020-10-12 15:34
804
AMD收购赛灵思后或将成为全球第四大芯片设计公
FPGA是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。目前全球主要玩家包括赛灵思、Altera、Lattice(莱迪斯)和Microsemi(美高森美)。即使是英特尔等芯片巨头在设计CPU等芯片时,都会先在赛灵思的FPGA上仿真后再流片。
赛灵思
FPGA
2020-10-12 15:45
1558
详析单片机、ARM、FPGA嵌入式的特点及区别
单片机的特点: (1)受集成度限制,片内存储器容量较小,一般内ROM:8KB以下; (2)内RAM:256KB以内。 (3)可靠性高
单片机
FPGA
2020-10-12 00:00
677
用ARM和FPGA搭建神经网络处理器通信方案
引言 人工神经网络在很多领域得到了很好的应用,尤其是具有分布存储、并行处理、自学习、自组织以及非线性映射等特点的网络应用更加
FPGA
ARM
2020-10-12 00:00
1188
AI+FPGA,低功耗智能探测系统将不再是难题
从家庭控制中智能门铃和安全摄像头的存在检测,到零售应用中用于库存的对象计数,再到工业应用中物体和存在检测,越来越多的网络边缘应用正
AI
FPGA
2020-10-12 00:00
687
工程师深谈ARM+FPGA的设计架构
最近学习了ARM+FPGA的设计架构,ARM和FPGA结构的通信大致可以分为两种: 一种是数据量小、通信速率要求不高的情况,可以考虑采用SPI
ARM
FPGA
2020-10-13 00:00
796
AMD收购赛灵思?FPGA的发展该走向何方
1984年,Ross Freeman与同事共同创立了赛灵思公司,并推出了世界上第一颗真正意义上的FPGA芯片XC2064,一个全新的行业就此诞生。2020年,
FPGA
赛灵思
2020-10-14 00:00
1445
FPGA是怎么做到还原真实世界的?
导言:影像记录时代,只有真实才能打动人心。 自从影像记录诞生以来,还原逼真世界的每一寸细节一直便是行业的终极追求。影响图像质量包括分辨率、位深度、帧速率、色域、亮度五个要素,近年来4K/8K 60Hz/120Hz的显示面板逐渐被人耳熟能详,伴随着分辨率、位深度、帧速率升级,色域和亮度也被提出新的要求。 然而事实上,人眼本身就是奇迹的造物,可以通过瞳孔的放大缩小感知方寸之间的每一处亮部和暗部,而现实世界则跟随自然的照度不同拥
FPGA
8K
2020-10-15 15:30
941
FPGA芯片巨头Xilinx宣布部分芯片涨价25%,以长寿命
在电子行业,通常受是产品越新越之前,旧产品都是不断降价的,但也又是产品例外,可能越老越吃香。FPGA巨头赛灵思日前意外宣布部分芯片涨价25%,特别是那些长寿命芯片。
FPGA
芯片
2020-10-15 15:36
960
FPGA与DSP关系是什么
常所说的单片机侧重于控制,不支持信号处理,属于低端嵌入式处理器,arm可以看做是低端单片机升级版,支持操作系统管理,更多接口如网卡,处理能力更强。
DSP
FPGA
2020-10-16 12:21
749
基于FPGA技术实现安全封装双向认证方案的设计
基于FPGA技术实现安全封装双向认证方案的设计-在深入分析基于FPGA的安全封装结构的基础上,针对其实际应用中身份认证的安全性要求,重点研究并设计了一种适用于FPGA安全封装结构的身份认证模型。该模型通过利用RSA公钥密码算法和SHA-1算法,实现了对用户及FPGA的双向认证。该模型具备良好的可移植性和安全性,能够有效抵御多种攻击,为基于FPGA的安全封装应用提供了强有力的用户权限认证。
FPGA
封装
2020-10-16 12:25
1615
五张图告诉你实时频谱分析仪有哪些应用
实时频谱分析仪是随着现代FPGA技术发展起来的一种新式频谱分析仪,与传统频谱仪相比,它的最大特点在于在信号处理过程中能够完全利用所采集
FPGA
RFID
2020-10-16 00:00
771
英特尔推出基于FPGA的新型智能NIC
新的英特尔FPGA SmartNIC C5000X平台已针对云数据中心进行了优化。它基于Intel Xeon-D处理器和Intel Stratix 10 FPGA,提供了硬件可编程数据路径。
FPGA
IC
2020-10-16 18:24
851
锐文科技基于FPGA的高性能网络产品支持x86 CPU平台
依托于网络虚拟化、软件定义网络、网络安全等技术,锐文科技专注于基于FPGA的高性能网络产品开发,为云服务、企业网络及服务器集群等提供高带宽、低延迟的智能网卡。
FPGA
CPU
2020-10-16 18:28
1040
[原创] 你不一定知道的eFPGA
此前,华尔街日报爆出AMD正在就收购赛灵思(Xilinx)进行谈判。如果该交易达成,交易额可能会超过300亿美元(约合人民币2014.44亿元)。这已经不是FPGA领域的首次大收购事件,早在六年前,英特尔就收购了Altera。
eFPGA
FPGA
2020-10-18 11:35
原创
2032
基于Fusion系列单片机和FPGA实现提高太阳能的利用
如图1所示,系统包括控制处理单元、电源管理单元、供电和输电单元(包括太阳能电池板、蓄电池、市电、电能输出接口)、接口单元、LCD显示单元、按键、指示和报警单元、步进电机驱动单元和采光单元。利用FPGAAFS600作为控制处理单元,主要通过Verilog HDL硬件逻辑和cote51软核实现数据采集、处理、电机的驱动控制以及电源管理单元的控制。采光单元和步进电机驱动单元主要实现采集光强数据以及控制机械传动改变太阳能电池板的方位的功能。电源管理单元与供电和输电单元实现蓄电池充/供电切换、市电供电和蓄电池供电切换、太阳能充/供电切换、电能变换输出。
FPGA
太阳能
2020-10-19 12:28
1251
基于MP430控制的正弦波信号源的设计与制作
本系统以MSP430为控制核心设计并制作一个正弦波和脉冲波信号源。本 实验分为四大模块,分别是键盘扫描模块,正弦波产生模块,脉冲波产
MSP
FPGA
2020-10-19 00:00
1528
英特尔的下一步是什么?
这些新的FPGA通常针对云和通信服务提供商,我们在The Next Platform中将其分为三个部分:hyperscalers,云构建者以及其他电信和服务提供商,它们虽然规模不大,但是也不像制造,分销等传统企业。
英特尔
FPGA
2020-10-19 18:34
743
下一代MachXO3D FPGA让汽车更安全
我们生活在一个高度互连的世界,很容易受到各种来源的网络攻击。仅2018年,硬件攻击让超过30亿系统暴露在数据盗窃、非法操作和其它安全隐患中。 在汽车领域,如今的智能联网汽车则加剧了这类安全问题。若网络攻击造成汽车失控不仅会对目标车辆中的人员造成伤害,更有可能危及附近的车辆、行人和财产。 因此,汽车的设计人员和厂商正极力寻找保障系统安全之道。正如本文所述,解决方法之一是采用莱迪思半导体的MachXO3D FPGA。 老式汽车时代已
Mac
FPGA
2020-10-19 18:34
1313
机器学习实战:GNN加速器的FPGA解决方案
1 概述得益于大数据的兴起以及算力的快速提升,机器学习技术在近年取得了革命性的发展。在图像分类、语音识别、自然语言处理等机器学习任务
机器学习
FPGA
2020-10-19 00:00
1219
易灵思易构™加速平台新方案向更广泛产品合作模式开放
可编程产品平台和技术创新企业易灵思®今天宣布其易构™加速平台(RAP)新方案的全面可用性。根据易构方案,易灵思的Quantum™技术将向更广泛
FPGA
SIP
2020-10-20 00:00
1298
基于FPGA ZYNQ7000的高帧频智能目标检测系统的设计
基于FPGA ZYNQ7000的高帧频智能目标检测系统的设计方案-高速相机一般通过GigE、Camera Link、USB3.0等接口将图像采集后传输到图像处理器上,这种方式把大量时间消耗到信息传输通道。为了解决这个问题,最好的方式是直接在近端对传感器芯片采集的图像进行处理。FPGA凭借其硬件并行运算的优势,越来越多地应用于高速相机以及高速运动检测系统中,极大地提高了图像处理速度,保证了系统的高速、实时性与准确性。通过FPGA对图像传感器进行近端处理,可以做到采集图像与智能处理同步进行。其最需要解决的问题是优化智能算法,使得运算更加简单高效,并占用更少的资源。
FPGA
图像处理器
2020-10-20 19:07
1591
采用FPGA技术实现液晶屏驱动控制器的应用设计
采用FPGA技术实现液晶屏驱动控制器的应用设计-为使产品在市场竞争中处于有力地位,在工业仪器仪表中,单色液晶屏逐渐被彩色屏取代是必然趋势。由于数字彩色屏的分辨率高,玻璃上的线路会更密,工艺和背光成本比模拟屏会更高。因此在对颜色要求不高的工业仪器仪表领域,低成本的模拟屏已成首选。本设计针对在工业仪表中具有较好应用背景的群创公司TFT模拟液晶屏AT056TN04,采用FPGA技术实现其驱动控制器设计。
控制器
FPGA
2020-10-20 19:11
1145
技术文章—FPGA的单线聚合(SWA)优势
在电子系统中,用于连接电路板和各个模块之间的连接器不仅价格昂贵而且占据了电路板和系统的宝贵空间,并且它们还会降低产品的稳定性。莱迪
FPGA
连接器
2020-10-21 00:00
966
XILINXISE传统FPGA设计流程
在基本的FPGA模块编写完成后,要使用仿真工具对设计的模块进行仿真,验证模块的基本功能是否符合设计。功能仿真也被称为前仿真。常用的仿真工具有
FPGA
时序分析
2020-10-21 12:26
868
同步电路设计:将系统状态的变化与时钟信号同
同步电路设计将系统状态的变化与时钟信号同步,并通过这种理想化的方式降低电路设计难度。同步电路设计是 FPGA 设计的基础。 01 触发器 触发器(Flip Flop,FF)是一种只能存储1个二进制位(bit,比特)的存储单元,并且具备记忆功能,可以用作时序逻辑电路的记忆元件。FPGA逻辑单元内的D触发器(D-FF)具有两个稳定状态,即0和1,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,就是一种在时钟的上升沿(或下降沿)将输入信号
触发器
FPGA
2020-10-21 15:40
1024
基于Fusion系列FPGA器件实现水温测控系统的设计
基于Fusion系列FPGA器件实现水温测控系统的设计-方案三 该方案采用CortexM1 FPGA系统来实现。系统硬件用单芯片完成多方面功能,软件编程灵活,自由度大,可用软件编程实现各种控制算法和逻辑控制,还可实现数码显示和键盘设定等多种功能,系统电路框图如图1所示。
FPGA
Cortex
2020-10-21 15:51
1400
用Elaborated Design优化RTL的代码
在Vivado FlowNavigator中有一个Elaborated Design,如下图所示,属于RTL Analysis这一步对应的设计。可能很多工程师都没有使用到,而实际上对于代码优化,它是很有帮助的。
RTL
FPGA
2020-10-21 15:55
1540
基于FPGA的单线聚合(SWA)——适用于FPGA开发人员
基于FPGA的单线聚合(SWA)——适用于FPGA开发人员和非FPGA开发人员-拥有FPGA设计经验的开发者还能自定义该解决方案。即便没有FPGA设计经验,开发人员依然能够轻松快速完成部署。
FPGA
莱迪思
2020-10-21 16:06
1509
如何解决芯片在正常工作状态下经常出现的亚稳
本文是一篇详细介绍ISSCC2020会议上一篇有关亚稳态解决方案的文章,该技术也使得FPGA在较高频率下的时序收敛成为了可能。亚稳态问题是芯片设计和FPGA设计中常见的问题,随着FPGA的发展,时序问题已经成为一个越来越难的挑战。单bit线网和逻辑通路扇出在巨大的芯片上扩散,而布线资源有限,这使得传统的时序收敛成为一场噩梦。在同步设计上实现时序收敛的传统技术一个接一个地碰壁,未能实现规模化。 Xilinx和Achronix在其新一代FPGA中解决了这一问题
FPGA
芯片
2020-10-22 21:22
1368
分立式ARM+FPGA与ZYNQ SoC相比,有哪些好处?
分立式ARM+FPGA与ZYNQ SoC相比,有哪些好处?-ARM与FPGA通过高速通信接口快速进行数据交换,可满足各种工业现场应用场景。
FPGA
ARM
2020-10-22 21:27
1287
基于FPGA的八位微处理器的IP软核设计方案
基于FPGA的八位微处理器的IP软核设计方案-随着数字通信和工业控制领域的高速发展,要求专用集成电路(ASIC)的功能越来越强,功耗越来越低,生产周期越来越短,这些都对芯片设计提出了巨大的挑战,传统的芯片设计方法已经不能适应复杂的应用需求了。SoC(System on a Chip)以其高集成度,低功耗等优点越来越受欢迎。
FPGA
微处理器
2020-10-22 21:28
955
基于FPGA的HEIF图像处理加速方案
近日,元脑生态伙伴深维科技与浪潮联合发布业内首个基于FPGA的HEIF图像处理加速方案。
图像处理
FPGA
2020-10-23 12:22
708
FPGA领域将成为AMD和英特尔对决的战场
AMD有意收购竞争对手、可编程逻辑芯片制造商赛灵思(Xilinx),收购价格有望超过300亿美元。 Xilinx是FPGA的发明者,也是目前全球最大的FPGA公司。2015年,英特尔收购Altera,从而进入FPGA高端玩家之列。此次如果AMD收购赛灵思成功,将对AMD对抗英特尔处于更有利的位置,并迅速在电信和国防市场占据更大地位。 目前全球FPGA供应市场呈现双寡头格局,Xilinx和英特尔合计市场占有率高达87%左右,再加上Lattice和MicroChip合计5.6%的市场份额,前四家美国公司即占据
FPGA
英特尔
2020-10-23 12:30
1270
远程升级之后程序加载的过程解析
在做在线远程升级的时候,一般需要两步:1、将数据写到外挂的flash中。2重新启动FPGA配置。 不过要做到远程升级,一般需要在原始程序中就考虑到加入远程升级模块,remote updata IP, 在升级的时候才可以启动远程升级功能。
EPC
FPGA
2020-10-23 12:33
697
为什么微软要基于FPGA来进行人工智能芯片设计
之后就可以启动虚拟指针到与FPGA外部的GPU相关联的图形处理单元(GPU)驱动程序的传输,经过外部的总线接口,可以直接启动GPU与FPGA之间的数据传输,而无需作为中间操作来将数据存储在中央处理单元的存储器中。
FPGA
GPU
2020-10-23 12:35
1311
微软发明的GPU和FPGA之间数据传输方案
2018年5月,在Bulid大会上,微软宣布 Project Brainwave 开放预览,这是一种用于深度神经网络处理的架构,可以用于Azure与边缘环境,并
FPGA
微软
2020-10-23 00:00
1202
[原创] 独立FPGA厂商走向穷途末路?
大浪淘沙沉者为金,风卷残云胜者为王。但对于FPGA这个市场来说,沉者、胜者或许都不是最后的赢家。
FPGA
赛灵思
2020-10-25 11:04
原创
1337
还在了解什么是低功耗?FPGA低功耗设计详解
功耗是各大设计不可绕过的话题,在各大设计中,我们应当追求低功耗。为增进大家对低功耗的认识,本文将对FPGA低功耗设计予以介绍。如果你对FPGA低功耗相关内容具有兴趣,不妨继续往下阅读哦。 FPGA的功耗高度依赖于用户的设计,没有哪种单一的方法能够实现这种功耗的降低。目前许多终端市场对可编程逻辑器件设计的低功耗要求越来越苛刻。在消费电子领域,OEM希望采用FPGA的设计能够实现与ASIC相匹敌的低功耗。 尽管基于90nm工艺的FPGA的功耗已低
FPGA
可编程逻辑
2020-10-26 21:20
864
基于FPGA芯片实现单片式8路高速数字信号分析仪的
基于FPGA芯片实现单片式8路高速数字信号分析仪的设计-由于数字信号只有高电平和低电平两种情况,因此,用单片机 (MCU)就可直接实现多路数字信号进行采集和逻辑分析。但由于单片机的时钟频率较低,完成一次采样的时间受程序执行指令速度的限制,采样速率通常不超过1MHz。因此,用单片机只能实现对低速率数字信号进行逻辑分析。
数字信号
FPGA
2020-10-27 12:36
978
AMD收购Xilinx,FPGA将如何发展?
AMD签署了一份最终协议,以350亿美元的股票交换收购Xilinx,为其几乎所有主要市场与英特尔展开正面交锋奠定了基础。市场趋势驱使AMD收购Xil
AMD
FPGA
2020-10-28 00:00
1144
什么是低功耗,对FPGA低功耗设计的介绍
功耗是各大设计不可绕过的话题,在各大设计中,我们应当追求低功耗。为增进大家对低功耗的认识,本文将对FPGA低功耗设计予以介绍。如果你对FPGA低功耗相关内容具有兴趣,不妨继续往下阅读哦。 FPGA的功耗高度依赖于用户的设计,没有哪种单一的方法能够实现这种功耗的降低。目前许多终端市场对可编程逻辑器件设计的低功耗要求越来越苛刻。在消费电子领域,OEM希望采用FPGA的设计能够实现与ASIC相匹敌的低功耗。 尽管基于90nm工艺的FPGA的功耗已低
FPGA
可编程逻辑
2020-10-28 21:35
1302
机械手控制系统是什么,它的功能特点有哪些
CRT工业运动控制系统DMC600M系列,其硬件结构基于高性能 DSP 为控制核心、FPGA 协处理,插补算法、脉冲信号产生及直线曲线加减速控制、I/O 信号的检测处理;运用实时多任务控制技术和硬件插补技术 ,工作时稳定性高;可以使用高细分驱动器 ,加工精度高、运行平稳;直线圆弧螺旋插补并其他轴联动。 在工业控制领域,示教系统是一种通过示教编程存储运动动作,然后将存储的动作重现出来的一种非常流行的人机交互式的控制系统。一个完整的示教系统
人机交互
FPGA
2020-10-28 22:04
1844
CrossLink-NX FPGA为Moorechip提供高性能高可靠串行接口解决方案
低功耗可编程器件的领先供应商莱迪思半导体公司宣布,Moorechip公司选择莱迪思CrossLink™-NX FPGA来实现支持1080p视频输出的四通道MIPI显
FPGA
莱迪思
2020-10-29 00:00
835
SmartNIC 为何受到众多厂商如此青睐?
与普通NIC相比,SmartNIC整合了更多的计算资源。但是这些架构就像雪花一样各不相同,因此我们将深入研究规模最大、最受欢迎的供应商所提供
IC
FPGA
2020-10-29 00:00
1034
下一代MachXO3D FPGA 让汽车更安全
我们生活在一个高度互连的世界,很容易受到各种来源的网络攻击。仅2018年,硬件攻击让超过30亿系 统暴露在数据盗窃、非法操作和其它安全隐
Mac
FPGA
2020-11-02 00:00
1700
瞬时启动单芯片MachXO3D FPGA为汽车网络带来企业级安全性能
我们生活在一个高度互连的世界,很容易受到各种来源的网络攻击。仅2018年,硬件攻击让超过30亿系统暴露在数据盗窃、非法操作和其它安全隐患
Mac
FPGA
2020-11-02 00:00
1046
超级计算机和FPGA
01 超级计算机和FPGA 1、超算? 大数据、基因科学、金融工程、人工智能、新材料设计、制药和医疗工程、气象灾害预测等领域所涉及的计算处理,家用个人计算机级别的性能是远远不够的。超级计算机(以下简称超算)就是为了解决这种超大规模的问题而开发的。超算并没有一个明确的定义, 通常所说的超算大致是性能在家用计算机的1000倍以上,或者理论性能在50 TFLOPST以上的系统。 FPGA作为可以提高超算能效比的通用器件受到了广泛关注。从性能、灵活性
FPGA
超级计算机
2020-11-02 21:20
1596
HLS高阶综合的定义及挑战
HLS高阶综合的定义及挑战-HLS高阶综合(high level synthesis)在被广泛使用之前,作为商业技术其实已经存在了20多年。设计团队对于这项技术可以说呈现出两极化的态度:要么坚信它是先进技术之翘楚,要么对其持谨慎怀疑态度。
FPGA
加速器
2020-11-04 18:40
1283
中低密度芯片覆盖汽车应用,高云半导体高密度FPGA展望ADAS
11月3日至5日, 2020慕尼黑华南电子展盛大开幕,作为慕尼黑展唯一的视频直播合作方,电子发烧友网记者在展会期间,通过现场直播方式采访了
慕尼黑
FPGA
2020-11-06 00:00
1239
FPGA未来将走向何方?
半导体行业观察:2015年6月1日,英特尔与Altera宣布,双方已达成最终协议,根据该协议,英特尔将以167亿美元的价格收购Altera。这是FPGA行业的一个重要里程碑,因为Xilinx和Altera是主要的FPGA供应商。
FPGA
Altera
2020-11-09 09:33
1081
FPGA的明天在哪里?Intel、AMD和Nvidia都将怎么做?
2015年6月1日,英特尔和Altera宣布,他们已达成最终协议,英特尔将以167亿美元收购Altera。这是FPGA界的一个重要里程碑,因为Xilinx和Alter
英特尔
FPGA
2020-11-09 00:00
1534
FPGA选型和设计过程
供应商的软件工具也会影响到上述决策。下载并使用这些软件工具,不需要硬件就能将设计带入仿真阶段。这也是判断需要多大规模的FPGA的一种方式,前提是你的内部逻辑设计基本做完了。
FPGA
PCB
2020-11-10 18:20
1260
利用高速FPGA设计PCB的要点及相关指导原则
任何人在为性能极高的FPGA设计IC封装时,都必须特别注意信号完整性和适于所有用户和应用的多功能性之间的平衡问题。例如,Altera的Stratix II GX器件采用1,508引脚封装,工作电压低至1.2V
FPGA
PCB
2020-11-10 18:20
1407
fpga全球市场_fpga国内外研究现状
通常来说半导体产业是周期性行业,其周期一般为4到5年。但是随着新技术和应用的快速发展,现今半导体周期越来越短,且每一个周期都有典型应用作为拉动点,比如过去的PC、后来的通信行业。FPGA也明显符合这种规律。但不同的是,当ASIC和ASSP萧条的时候,往往迎来FPGA的大发展。2008年以来的金融危机使得半导体行业平均跌幅大于10%,但是市场数据却显示FPGA行业依然强劲增长。危机和低迷使ASIC和ASSP制造者为谨慎起见,不敢贸然推出新产品,避免巨大的NRE费用。而FPGA恰好能迎合这一需求。
FPGA
ASSP
2020-11-10 18:36
1708
fpga开发一般用什么软件
Xilinx(全球FPGA市场份额最大的公司,其发展动态往往也代表着整个FPGA行业的动态)
FPGA
百度云
2020-11-10 18:38
1236
fpga论坛推荐_fpga开发难吗
elecfans论坛的FPGA模块还是比较活跃的,有各种FPGA工具使用问题的一些讨论。
FPGA
Verilog
2020-11-10 18:41
1224
FPGA设计要点之一:时钟树
对于 FPGA 来说,要尽可能避免异步设计,尽可能采用同步设计。 同步设计的第一个关键,也是关键中的关键,就是时钟树。 一个糟糕的时钟树,对 FPGA 设计来说,是一场无法弥补的灾难,是一个没有打好地基的楼,崩溃是必然的。
时钟树
FPGA
2020-11-11 12:34
1651
Achronix将引领第四波FPGA浪潮
本文编译自SemiWiki日前,在Linley秋季处理器大会上,Achronix战略和规划部高级主管Mike Fitton表示,Achronix表示将推动第四次FPGA浪潮。
FPGA
SemiWiki
2020-11-11 00:00
1253
双巨头相继被收,FPGA的未来在哪里
2015年,英特尔以167亿美元收购Altera。而就在今年AMD正式收购了Xilinx,这对于FPGA领域来说是一个重要的里程碑,因为Xilinx和Altera是FPGA
FPGA
Altera
2020-11-11 00:00
1426
FPGA从台前走向幕后
半导体行业观察:10月27日,AMD终于正式对外宣布,已获得双方董事会同意,将以370亿美元的价格,收购赛灵思(Xilinx),并预计于2021年完成交易,让这宗传闻已久的合并案,正式尘埃落定。
FPGA
AMD
2020-11-16 09:05
2711
易灵思Ti60 FPGA在台积电16纳米工艺节点流片
可编程产品平台和技术创新企业易灵思®宣布,其Ti60 FPGA已在台积电的16纳米工艺节点流片。该器件是Trion® Titanium系列中的首款产品,采
FPGA
16纳米
2020-11-17 00:00
1856
英特尔全新开放式FPGA开发堆栈,实现更高代码可重用率
英特尔发布最新的英特尔®开放式FPGA开发堆栈(英特尔®OFS)。通过可拓展的硬件,以及可访问的git源代码库的软件框架,英特尔®开放式FPGA
英特尔
FPGA
2020-11-18 00:00
1107
Intel开放式FPGA堆栈,为高性能负载提供动力
除了刚刚介绍的 eASIC N5X 解决方案,英特尔还在今天的 FPGA 技术日活动上宣布了开放式 FPGA 堆栈(简称 Intel OFS)。作为一种可
FPGA
Intel
2020-11-19 00:00
948
Intel如何玩转FPGA,加快数据中心创新
2015年,英特尔以167亿美元收购Altera,今年AMD又拿下了Xilinx,作为FPGA领域里的俩大玩家双双被收购,对今后的产业发展无疑会产生重大影响
FPGA
数据中心
2020-11-20 00:00
1508
紫光同创28nmFPGA芯片大功告成,即将推出样片
近日,紫光同创在接受天风证券调研时对外表示,公司FPGA产品已经应用于通信领域,主要应用场景有2G语音城际分组传送网、4G接入 传送应用、
紫光
FPGA
2020-11-30 00:00
1909
进一步提高软件编程能力,Xilinx 收购峰科计算
12月2日,赛灵思公司(Xilinx, Inc ,NASDAQ: XLNX)宣布已收购峰科计算解决方案公司(Falcon Computing Solutions),这是一家为软件
赛灵思
FPGA
2020-12-02 00:00
1623
从多维度,看FPGA国产化“征途”
凭着“可编程性”和“灵活性”等特性,FPGA近几年在5G通信、人工智能等具有较频繁的迭代升级周期、较大的技术不确定性的领域大放异彩。根据
FPGA
5G
2020-12-14 00:00
1646
FPGA如何为下一代通信和网络赋能
了解网络基础设施功能迅速增长的一种便捷方法是回顾一下过去四十年的发展历程(如下图所示)。蜂窝网络技术的创新,加上新型的数据存储和搜
FPGA
蜂窝网络
2020-12-16 00:00
870
莱迪思FPGA让玩视科技实现SDI与HDMI灵活转换
莱迪思半导体有限公司,低功耗可编程器件的领先供应商,近日宣布深圳玩视科技有限公司(HDCVT)采用莱迪思FPGA器件提供的丰富高速的SERDES
莱迪思
FPGA
2021-01-04 00:00
1136
莱迪思FPGA助力玩视科技(HDCVT)实现SDI转HDMI解决
莱迪思FPGA助力玩视科技(HDCVT)实现SDI转HDMI解决方案-近日宣布深圳玩视科技有限公司(HDCVT)采用莱迪思FPGA器件提供的丰富高速SERDES资源和灵活的I/O接口,实现双通道3G SDI转HDMI/VGA/RGB桥接。
莱迪思
FPGA
2021-01-04 21:50
1123
英特尔 Agilex™ FPGA助力BittWare 从边缘到云端的创新
Molex莫仕旗下的BittWare公司推出IA-840F,这是公司第一种基于英特尔® Agilex™的FPGA卡,该卡的设计在每千瓦性能方面实现了重大的改进,
FPGA
英特尔
2021-01-05 00:00
1340
Achronix借道ACE Convergence合并在纳斯达克上市
高性能现场可编程门阵列(FPGA)和嵌入式FPGA(eFPGA)IP的领导者Achronix半导体公司和专用收购公司ACE Convergence Acquisition Corp
FPGA
纳斯达克
2021-01-08 00:00
1241
复旦微28nm FPGA芯片去年上半年营收3083万元,毛利率达99.6%
日前,复旦微在回复上交所问询函中表示,公司28nm大规模亿门级FPGA产品于2019年初开始量产。2019年和2020年1-6月,公司28nm工艺制程FPGA实
FPGA
28nm
2021-01-10 00:00
1402
智多晶:深耕FPGA的赛道,致力打造“中国芯”
FPGA为现场可编程门阵列,作为目前仅有的支持再编程芯片,应对未来5G时代到来后激增的芯片设计与功能使用需求,FPGA可以避免如ASSP或ASIC所
FPGA
智多晶
2021-01-10 00:00
865
ARM9的超高频读写器基带编解码设计
引言普通的UHF读写器主控芯片使用FPGA较多,其优点是FPGA对时序逻辑处理速度快,使用HDL语言很容易实现协议的编码与解码,但FPGA芯片本身很
FPGA
芯片
2021-01-10 00:00
1253
ARM/FPGA杜邦线之片间传输--高速数据串扰
片间传输--高速数据串扰这几天调试一个东东,STM32的FSMC传输数据给Bingo自制的VGA控制器,由于没有直接打板,板间用了杜邦线连接。FMSC传
杜邦
FPGA
2021-01-13 00:00
745
上一页
1
下一页