摩尔精英招聘 - 专注全球半导体职业机会
搜索
新闻首页
行业新闻
求职指导
活动
摩尔半导体指数
台积电
嵌入式存储器的前世今生
半导体行业观察:本文将为你阐述嵌入式存储器的前世今生。
台积电
DRAM
eRAM
2017-06-19 08:48
原创
5899
台积电能成为市值最高半导体企业的根本原因
半导体行业观察:无论市值还是半导体制程,TSMC都将Intel踢下了王座
专利
台积电
2017-06-06 08:42
5288
晶圆代工厂的未来:台积电们如何找出路?
半导体行业观察:在过去几年中,三星,台积电和GlobalFoundries们在竞争激烈(还是非常昂贵的)代工业务中都饱受争议。
GlobalFoundries
晶圆代工
台积电
2017-06-05 08:24
原创
3227
台积电重返存储代工市场,直打三星七寸
半导体行业观察:晶圆代工大厂台积电和三星的竞争,现由逻辑芯片扩及存储市场
eRAM
晶圆代工
存储
台积电
三星
2017-06-05 08:24
4858
半导体7nm大战开启,四强争霸
半导体行业观察:全球半导体产业在10纳米制程世代遭遇到前所未有的挫折,近期纷纷将重点资源投入在7纳米制程上
格罗方德
7nm
台积电
三星
2017-06-17 12:06
4232
GlobalFoundries 7纳米明年量产,AMD是首批客户?
半导体行业观察:晶圆代工厂GlobalFoundries宣布,推出7纳米鳍式场效电晶体(FinFET)制程技术,预计2018年下半年量产。
三星
格芯
台积电
7nm
GlobalFoundries
格罗方德
2017-06-15 08:49
5684
重磅,联电CEO颜博文宣布退休
半导体行业观察:晶圆代工厂联电昨(14)日举行董事会,通过CEO颜博文退休案,并任命资深副总简山杰、资深副总王石共同总经理。
颜博文
UMC
中芯国际
台积电
联电
晶圆代工
2017-06-15 08:49
6043
亚洲半导体的霸主:三星OR台积电?
半导体行业观察:亚洲半导体双雄台湾集成电路制造(TSMC)和三星电子围绕先进技术展开了激烈竞争。
IDM
台积电
晶圆代工
三星
2017-06-15 08:49
6080
英特尔7nm工艺量产时间终确定
半导体行业观察:半导体大厂英特尔(intel)在一项针对投资者的说明会中表示,他们将会在2020 年量产7 纳米制程处理器。
7nm
Intel
三星
台积电
格罗方德
AMD
2017-06-13 10:07
7171
三星遭重大打击,7nm骁龙芯片情归台积电
半导体行业观察:业界消息透露,未来高通将转单台积电,生产7纳米的次世代骁龙处理器
台积电
晶圆代工
三星
高通
7nm
骁龙845
2017-06-13 10:07
4948
营收屡创新高,台积电通吃中低制程商机
半导体行业观察:晶圆代工龙头台积电昨(11)日表示,第2季营运能否达到财测目标,新台币兑美元汇率将是唯一因素
晶圆代工
16nm
台积电
2017-06-12 08:40
3363
半导体老将:大陆晶圆厂落后台积电两个世代
半导体行业观察:比较现今中国大陆与台湾的半导体产业,就整体竞争力来说,台湾是领先的,尤其是晶圆制造方面,上海的中芯国际比台积电落后至少两个世代
中芯国际
晶圆代工
台积电
2017-06-12 08:40
6440
台积电被外资持股八成,张忠谋表示担忧
半导体行业观察:外资持股逾八成,张忠谋指出,这绝不是台积电的选择,他也不乐见,也希望有更多的台湾投资人多投资台积电。
晶圆代工
台积电
张忠谋
2017-06-09 08:47
12056
挑战台积电?三星为何成立芯片代工部门
半导体行业观察:本月13日,三星电子宣布组建一个新的芯片代工业务部门,遂将与台积电等代工厂商展开竞争。
台积电
芯片
三星
2017-05-22 08:53
4016
台积电3nm计划将公布,芯片内部导线将用钴取代铜制程?
半导体行业观察:台积电一年一度的技术论坛订下周四(25)日在新竹登场,在美商应材公司材料技术获致重大突破下,预估台积电5nm制程量产蓝图将更加确定
晶圆代工
芯片
台积电
2017-05-19 08:56
4949
三星晶圆厂独立,台积电一家独大时代将终结?
半导体行业观察:南韩科技大厂三星电子日前宣布,公司已组成一个新的芯片代工业务部门,未来与台积电等代工厂商争夺客户
芯片
台积电
三星
2017-05-18 08:46
4237
苹果狂砍供应商利润,下一个受伤的是台积电
半导体行业观察:日经新闻报导,受惠于苹果( AAPL-US )十周年新机iPhone 8据传又可望在今年发表,一些市场分析师又再度对苹果的前景展望趋向乐观
供应链
台积电
苹果
2017-05-12 09:00
3355
中国最狂购并购潮,大陆版台积电即将成形?
半导体行业观察:经过前两年的购并狂之后,中资企业朝价值链上游发展的转型变身才正要开始
汽车
台积电
并购
2017-05-08 08:58
2704
Intel和三星有可能在晶圆代工方面超越台积电吗?
半导体行业观察:台积电是全球晶圆代工产业的先锋,也是全球最大的晶圆代工公司,公司毛利率几近50%。从全球范围来看,台积电的对手只有2.5个
台积电
三星
2017-04-21 17:42
3682
全球前五大硅晶圆供应商垄断92%市场
来源:内容来自芯资本 ,谢谢。今天是《半导体行业观察》为您分享的第1258期内容,欢迎关注。Reading推
晶圆代工
台积电
2017-04-24 17:29
3572
张忠谋:台积电5nm将在2019年到来
半导体行业观察:预估今年半导体产业产值将较去年成长4%,电子产品采用的半导体元件比率持续提升,IC设计公司也会增加市占率,整合元件制造厂委外比例也成长,预估至2020年前,IC制造业成长率将比整体半导体业3%高
晶圆代工
张忠谋
台积电
2017-04-25 17:32
3434
台积电财报首次谈接班人,张忠谋钦点了他?
半导体行业观察:晶圆代工厂台积电首度在年报中提及传承计划,指出传承计划正顺利进行中,并预告董事长张忠谋在完成为期数年的传承计划后,将正式卸任董事长职位。
晶圆代工
台积电
2017-04-26 17:21
2540
传台积电警告华力微,禁止使用非法得来的28nm技术
半导体行业观察:晶圆代工龙头台积电惊爆有内贼,前徐姓工程师非法窃取公司28纳米制程文件,离职后计划跳槽中国晶圆厂华力微
晶圆代工
台积电
2017-05-03 17:36
3431
Anandtech公布科技巨头7nm路线图:Intel大幅落后
半导体行业观察:台积电与GF可以说即将翻身,而老牌企业Intel则将在10nm制程徘徊一段时间。
格罗方德
台积电
10nm
2017-06-25 12:47
4038
中国大陆晶圆产能大跃进,但仍面临巨大挑战
半导体行业观察:
联电
台积电
中芯国际
2017-06-29 08:41
4566
台系晶圆厂产能全部满载,某些Fabless头疼
半导体行业观察:第2季刚结束大清洗的产业链库存调整动作,仍让产业及市场对重覆下单(Overbooking)的后果记忆犹新
台积电
晶圆代工
联电
2017-07-07 08:44
4068
SK海力士正式入局晶圆代工,还有机会吗?
半导体行业观察:南韩记忆体大厂SK Hynix 周一宣布成立新子公司,旗下晶圆代工业务正式分拆成为独立的事业体
台积电
SK海力士
晶圆代工
三星
2017-07-11 08:58
7345
[原创] 三星晶圆代工要超越台积电?
半导体行业观察:三星将在今年将坐了20多年半导体龙头位置的Intel拉下马。但是这似乎满足不了三星的野心。
三星
晶圆代工
台积电
2017-07-13 08:50
原创
3451
台积电上季利润暴降,晶圆代工巨头怎么啦?
半导体行业观察:台积电每股税纯益为2.56元,创5季以来低点。
5nm
台积电
2017-07-14 08:40
4359
别人家公司,台积电员工上半年分红过百万
半导体行业观察:台积电最新一季的财务会议披露,公司第二季度的业绩表现不甚理想,但似乎台积电在对待员工方面并不会有所删减
联发科
台积电
矽品
2017-07-14 08:40
4165
台积电业绩不好,原来是因为这个?
半导体行业观察:业界传出,高通和苹果之间的专利诉讼战火,恐使台积电成为间接受害者。
高通
台积电
2017-07-15 13:08
3547
关于台积电业绩下滑和未来发展的一些思考
半导体行业观察:台积电受多因素拖累Q2、Q3业绩低于预期,Q4强劲反弹
台积电
晶圆代工
联发科
2017-07-17 08:59
3291
八寸晶圆厂供不应求,你抢到产能了吗?
半导体行业观察:在物联网(IoT)与汽车应用带动下,8寸晶圆厂未来数年将出现明显复苏。
台积电
联电
2017-07-17 08:59
5645
汽车电气化革命,国内半导体厂商有机会吗?
半导体行业观察:对汽车产业而言,2017年5月是个值得关注的历史转折点。
联电
台积电
2017-07-17 08:59
3283
台积电7nm样品已流片,三星加速追赶
半导体行业观察:据Digitimes报道称,台积电目前已经流片了13张7nm样品芯片,2018年即可开始批量生产
台积电
7nm
三星
EUV
2017-07-18 08:43
5840
三星重新赢回苹果A系列芯片订单,台积电面临新挑战
半导体行业观察:据《韩国先驱报》北京时间7月18日报道,在2013年被台积电夺走iPhone芯片代工订单后,三星电子将于明年再次为苹果公司的新iPhone生产芯片。 \x0a
三星
台积电
晶圆代工
2017-07-19 09:19
4240
恭喜,本土厂商中微半导体杀入台积电7nm供应链
半导体行业观察:因 10nm 制程遭遇前所未有的挑战,故全球半导体产业纷纷将重要资源投入在 7nm 制程上,台积电、三星、GlobalFoundries、英特尔均已开始布局
7nm
中微半导体
台积电
2017-07-19 09:19
4836
次5nm 2D材料可望突破摩尔定律限制?
半导体行业观察: 设计人员可以选择采用2D非等向性(颗粒状速度更快)材料(如黑磷单层),让摩尔定律扩展到超越5nm节点
IMEC
台积电
三星
摩尔定律
2017-07-21 08:52
4572
Fab的朋友必看:一封台积电离职工程师写的信
半导体行业观察:你将来想成为什么样的人?你想进入什么样的公司?
晶圆代工
台积电
2017-07-16 13:17
14844
[原创] 7nm是最后一个主要节点?
半导体行业观察:越来越多的设计和制造难题带来了越来越多的问题:10/7nm 之后还将怎样延展?有多少公司将参与进来?它们将要应对哪些市场?
台积电
三星
7nm
2017-07-25 08:42
原创
3999
三星公开叫板台积电抢订单,后者不予回应
半导体行业观察:三星电子公司一位高管表示,通过积极地增加客户,计划未来五年内将其芯片代工业务市场份额提高两倍
7nm
台积电
三星
2017-07-25 08:42
3745
[原创] 剖析全球最挣钱的五家半导体公司,你更看好谁?
半导体行业观察:半导体从业者应该很多年没有看过最近两年那么火爆的景象。
三星
台积电
博通
Intel
高通
2017-08-02 08:47
原创
20702
台积电投资近千亿建厂,往5nm推进!
半导体行业观察:台积电今日董事会核准的资本预算,以兴建厂房相关费用最受瞩目,台积电表示,不打算公开说明建厂细节
台积电
5nm
2017-08-09 09:06
4140
台积电加快研发抢客户,不给三星任何机会
台积电董事会核准955.54亿元资本预算案,扩充先进制程设备、特殊制程产能及先进制程研发
三星
台积电
2017-08-10 08:50
4151
台积电正大规模量产的A11处理器到底怎么样?
据报道,台积电正在大量生产用于苹果iPhone8手机的10nm A11处理器。此前的消息称,苹果很有可能在下个月初发布iPhone 8,但是发货日期仍然无法确定。
台积电
2017-08-15 08:38
3190
[原创] 这个技术有多难?全世界只有两家公司会!
半导体行业观察:集成扇出型封装(InFO)技术是什么?作为目前移动市场最受欢迎的技术,为什么目前只有两家公司能够生产,其中的难点是什么?
台积电
格芯
2017-08-16 08:48
原创
7815
再传台积电考虑搬去美国,台湾咎由自取
半导体行业观察:8月15日下午,台湾当地经历了有史以来最大规模的无预警停电,当时总共造成全台湾668万户瞬间用电受影响,17县市出现大规模停电,比例超过台湾总用户的一半。
台积电
EUV
2017-08-21 08:57
5453
三星7nm提前,威胁台积电领先地位?
半导体行业观察:南韩半导体大厂三星电子积极抢食晶圆代工大饼,原定于明年在南韩华城市动土动工的18号生产线
晶圆代工
台积电
三星
2017-08-22 08:56
5776
看完这篇,你就明白为啥台积电不可超越
半导体行业观察:日前,关于三星将会提前推进7nm工厂建设,抢单台积电的新闻在各大媒体平台传播。
7nm
台积电
EUV
2017-08-23 08:45
7238
IC Insights:三星成为全球最大的半导体投资者
半导体行业观察:根据IC Insights的最新预测指出,2017年半导体产业的资本支出(Capital Spending)将攀升20%
台积电
三星
2017-08-24 08:57
4234
台湾不惜一切想留住台积电3nm工厂的主要原因
半导体行业观察:之前传闻台积电因为供电原因,准备将3nm工厂迁址美国,引起台湾政府的高度重视,产业界也一片哗然
台积电
3nm
2017-08-29 08:58
6576
晶圆代工龙头的巅峰之战即将开启
半导体行业观察:全球晶圆代工已展开新一轮热战,除台湾半导体巨擘—台积电在技术论坛中展示对未来制程技术的规划
联电
台积电
三星
2017-08-29 08:58
3645
硅晶圆缺货严重,台积电都开始慌了
半导体行业观察:过去8年,半导体硅晶圆生产过剩,市场长期属买方市场,今年以来,随着需求攀升,供应增加有限,供给不足,硅晶圆摇身一变为卖方市场。
台积电
硅晶圆
2017-09-02 12:36
3505
台积电被欧美反垄断调查?
半导体行业观察:据外媒报导,台积电正面临欧盟执委会和美国联邦贸易委员会(FTC)反垄断调查。
中芯国际
台积电
2017-09-07 09:05
2935
苹果A11力推,台积电八月份收入800亿
半导体行业观察:晶圆代工龙头台积电在8 日公布8 月份自结营收,根据财报显示,创下历来单月业绩第3 高的历史纪录。
苹果
台积电
2017-09-09 12:33
4212
台积电16nm落户南京,带动半导体产业链进入大陆
半导体行业观察:台积电董事长张忠谋订明(12)日亲赴南京,主持台积电南京12吋晶圆厂进机典礼。
台积电
2017-09-11 08:46
4274
台积电独占尖端制程,40nm以下营收遥遥领先竞争对手
半导体行业观察:2017年专业晶圆代工市场预料将成长7%,而40纳米以下特征尺寸(feature size)装置的销售额有望年增18%至215亿美元,是最主要的成长动能。
台积电
联电
格罗方德
2017-09-21 08:52
3623
Intel和三星加码晶圆代工,能威胁台积电吗
半导体行业观察:2017年9月19日,Intel在中国举办“精尖制造日”发布会,在全球首次展示了Arm的10纳米测试芯片晶圆。
三星
晶圆代工
台积电
Intel
2017-09-21 08:52
5025
台积电涉嫌垄断被告?传Global Foundries要求欧盟展开调查
半导体行业观察:美国半导体制造公司GlobalFoundries(格罗方德半导体股份有限公司)已要求欧盟对台积电展开反垄断调查。
台积电
GlobalFoundries
2017-09-22 09:22
4150
张忠谋:3nm可以实现,2nm不好说
半导体行业观察:台积电董事长张忠谋今(23)日应交大EMBA之邀,以「成长与创新」为题发表演说。
台积电
张忠谋
3nm
2017-09-24 12:18
3253
iPhone X之后, 台湾半导体产业开始衰落?
半导体行业观察:美国分析师预言,iPhone 十周年纪念机种的超级换机潮之后,苹果将后继无力,迎来「黯淡的10 年」,台湾会跟着遭殃吗?
台积电
iPhone
2017-09-25 08:50
3907
AI会成为半导体产业的下一波盛宴吗?
半导体行业观察:10年前,台积电市值还不到半导体巨擘英特尔一半,如今台积电市值比英特尔多了300亿美元
Intel
台积电
2017-09-25 08:50
3293
祭出反垄断大招,晶圆代工争夺战进入白热化
半导体行业观察:近日路透社报道称,晶圆代工厂格芯指控晶圆代工龙头台积电涉有不公平的竞争行为,并向欧盟执委会的反垄断机关要求调查一事。
台积电
格芯
晶圆代工
2017-09-25 08:50
2672
台积电:7nm市场规模将超越28nm,高速计算是未来主力
半导体行业观察:昨日,台积电举办了法说会,会上公布了第3季营收表现。数据显示,台积电第3 季合并营收为2521.1 亿元,季增17.9%,年减3.2%
台积电
7nm
高速运算
2017-10-20 09:01
3565
中国半导体产业快速崛起 ,但核心技术仍差三代
半导体行业观察:随着国家对集成电路产业扶持政策的落地以及这几年来中国企业的奋起追赶,在世界的舞台上,来自中国半导体行业的声音愈发响亮。
台积电
芯片
2017-10-20 09:01
5550
[原创] 狠,三星想买断所有EUV机台 !
半导体行业观察:韩媒BusinessKorea昨日报道,三星有意一口气买下10台单价为1.76亿美元的EUV。
Intel
三星
EUV
台积电
2017-10-21 11:59
原创
10619
海思寻找台积电外的供应商支持,Intel的最好机遇?
半导体行业观察:19 日台积电法说会上,共同执行长刘德音才表示,7 纳米及7 纳米+ 将会如期在2018 年试产或量产,而且成为台积电2018 年重要的获利来源之一。
Intel
台积电
三星
2017-10-21 11:59
4738
没有常胜将军,台积电曾在这个领域一败涂地
半导体行业观察:台湾积体电路制造股份有限公司称霸全球晶圆代工市场,是许多产业厂商学习典范,但台积电不是永远的赢家,也曾在LED(发光二极体)与太阳能领域挫败。
LED
台积电
2017-10-21 11:59
3943
台积电培育出的那些企业高管
半导体行业观察:台积电成立满30周年,人才开枝散叶,不仅宏碁董事长陈俊圣曾是台积电全球业务暨营销资深副总经理,联发科共同执行长蔡力行更曾是台积电董事长张忠谋的接班人选。
台积电
陈俊圣
蔡力行
2017-10-22 11:50
4480
台积电30周年庆上,半导体巨头们都谈了什么?
半导体行业观察:回顾台积电的发展历程,凭借他们的专注和几次关键决定,他们从不为人所知到名噪天下,占用了全球近60%的晶圆代工市场
摩尔定律
台积电
2017-10-24 09:03
3577
张忠谋:半导体行业不能守成
半导体行业观察:摩尔定律的极限在哪里?在台积电创始人张忠谋看来,实际上摩尔定律在半导体行业中早已不适用了。
台积电
张忠谋
2017-10-25 09:00
3527
揭秘台积电 ,全球最大晶圆厂是怎样运营的?
半导体行业观察:全球最大的晶圆代工大厂台积电,董事会运作功能极其强大,但少有人知道, 台积电一年4 次的董事会,都从台北君悦或新竹老爷酒店的一场晚宴开始
台积电
张忠谋
2017-10-28 11:43
4919
张忠谋谈台积电与大陆晶圆厂的关系:不会此消彼长
半导体行业观察:10月2日下午,86岁的台积电董事长张忠谋无预警宣布将于明年退休,各界惊讶,但隔天台积电股价依然亮眼,一度达新台币223元,平历史新高。
台积电
芯片
晶圆代工
2017-10-31 09:10
6884
三星晶圆业务遭当头棒喝,谋划扩产反遭政府喊停
半导体行业观察:三星电子使尽手段,处心积虑想当晶圆代工二哥,不料三星的扩产计划,据传在南韩踢到铁板,新厂所在地的政府拒发执照,可能无法如期开工。
台积电
三星
晶圆代工
2017-10-31 09:10
3758
张忠谋:三星挖过我,并想我放弃台积电
半导体行业观察:晶圆代工龙头台积电董事长张忠谋今(4)日指出,三星会长李健熙曾在1989年来台湾时与他见过面,并打算延揽他到三星上班。
台积电
张忠谋
2017-11-05 12:30
2687
拆解iPhone X供应链,台积电成为最大赢家
半导体行业观察:iPhone X初步拆解报告曝光。外电报导,知名拆解网站iFixit拆解 iPhone X发现,台积电主要客户产品全数入列,使得台积电成为iPhone X热销的大赢家。
iPhone
台积电
2017-11-07 09:05
4037
半导体产业格局从此改变!
半导体行业观察:台湾半导体业再现警讯。工研院产经中心(IEK)昨(7)日预估,今年全球半导体产值首次突破4,000亿美元、达4,111亿美元,创新高
三星
台积电
2017-11-08 09:04
5846
台积电CEO:大陆半导体已是台湾对手
半导体行业观察:人工智能(AI)时代来临,让半导体新商机浮现,但中国大陆积极发展半导体,也带来挑战
台积电
力成半导体
2017-11-16 08:53
3135
30亿美元处罚!台积电首度证实正接受反垄断调查
半导体行业观察:今年九月,晶圆代工厂格芯指控晶圆代工龙头台积电涉有不公平的竞争行为,并向欧盟执委会的反垄断机关要求调查一事。
台积电
格芯
反垄断
2017-11-18 11:55
3196
张忠谋退休后,台积电的机遇与挑战
半导体行业观察:身为一个创办人,张忠谋成功的创造出半导体代工的商业模式,并将台积电的规模从最初的一百多人扩展至四万四千人
台积电
张忠谋
2017-11-20 09:09
2894
半导体市值今年大涨48%,台积电最多!
半导体行业观察:美国费城半导体指数再创波段新高,今年来大涨48%,台湾半导体类股同样有不错表现,类股市值今年来大增新台币2.81 兆元,台积电(TSMC)市值增加最多,达1.62 兆元
南亚科
台积电
联发科
环球晶圆
2017-11-27 09:02
4094
别人家公司:台数家半导体企业加薪发奖金
半导体行业观察:又到了年底,半导体民工们辛苦了一年,理应获得一些奖励,在台湾地区,这一切做得就非常好。
日月光
台积电
联发科
鸿海
2017-11-27 09:02
3410
2017十大晶圆代工厂排名出炉,台积电市占55.9%排第一
受到高运算量终端装置以及资料中心需求的带动,2017年全球晶圆代工总产值约573亿美元
晶圆代工
台积电
SiC
2017-11-30 08:55
8661
台积电启动3nm投资计划
半导体行业观察:全球晶圆代工龙头台积电昨(7)日举行年度供应链管理论坛,共有超过600个来自全球的合作伙伴参加。
3nm
台积电
2017-12-08 08:55
3253
苹果助力,台积电月收931亿
半导体行业观察:晶圆代工龙头台积电持续受惠于10nm苹果A11 Bionic应用处理器晶圆出货放量
台积电
2017-12-09 12:43
2576
中国提前拿到全球第二先进工艺
半导体行业观察:台积电位于南京的300mm晶圆厂将于2018年5月份投入量产,比原计划的2018年下半年提前了一个季度还要多。
5nm
台积电
2017-12-10 12:26
3699
外资不看好台积电未来,张忠谋霸气回应
半导体行业观察:
HPC
台积电
2017-12-13 09:00
5366
台积电投7000亿建全球首个5nm厂,预估五年后回本
半导体行业观察:台积电董事长张忠谋昨(26)日亲自举行南科新建晶圆18厂的动土典礼
台积电
5nm
2018-01-27 12:23
4259
张忠谋:2018年看淡苹果、AI,看好比特币
半导体行业观察:我认为市场针对元月18 日的台积电今年第一季法说会的解读,根本是划错重点找错对象。
苹果
AI
台积电
2018-01-28 12:44
4248
硅晶圆今年再涨20%,信越化学股价创历史新高
半导体行业观察:今年度(2017年4月-2018年3月)合并营收目标自原先预估的1.35兆日圆上修至1.42兆日圆、合并营益自2,680亿日圆上修至3,230亿日圆
台积电
硅晶圆
2018-01-30 09:05
3333
三星开始生产挖矿芯片,对标台积电
半导体行业观察:据传三星电子稍早已与中国一家比特币挖矿硬件公司签约,开始生产比特币挖矿芯片
三星
台积电
ASIC
2018-01-31 09:00
3311
张忠谋真情告白,谈彷徨、生死与爱(内含视频)
半导体行业观察:2018年开春,87岁的科技教父主持正式交棒前最后一场法说会, 依旧挺直腰杆,谈笑风生; 下台前,轻轻一句I will miss you,洒脱道别。
张忠谋
台积电
2018-02-01 08:54
3518
张忠谋:离开台积电后,我不做这三件事
半导体行业观察:张忠谋如何交棒,大家都在看,全球第三大半导体帝国会因此动摇?
张忠谋
台积电
2018-02-03 12:48
4451
三星投资56亿美元建新厂:7nm竞争进入白热化阶段
半导体行业观察:据国外媒体报道,本周三星在韩国本土投资的一家新工厂正式开始破土动工,该工厂主要的任务是为三星生产7nm工艺处理器
三星
7nm
台积电
2018-02-22 09:29
3097
威武,台积电在台投千亿建3nm研发中心
半导体行业观察:台积电冲刺先进制程,已向竹科管理局提出土地需求申请获准,启动竹科新研发中心建设
3nm
台积电
2018-02-26 09:24
2691
[原创] 台积电涉嫌垄断,竞争者们该怎么做?
半导体行业观察:日经新闻近日透露,全球第二大晶圆代工厂格芯(Globalfoundries)已向中国发改委提出对台积电的调查请求。
格芯
台积电
2018-03-09 08:44
原创
3715
传比特大陆包下台积电南京厂16nm产能
半导体行业观察:据了解,比特大陆已包下台积电南京厂每月约2万片16纳米产能,晶圆将在5月之后出货
比特大陆
台积电
2018-03-29 08:52
6852
台积电扩大封测产能,威胁矽品日月光
半导体行业观察:台积电因应苹果新世代处理器制程推动至7纳米,决定同步扩大后段扇出型封装(InFO)产能,并且从龙潭延伸至中科
台积电
2018-04-02 09:09
2622
假如没有林本坚,就没有今天的台积电
半导体行业观察: 台积电董事长张忠谋曾称,假如没有林本坚及其团队,「台积电的微影(半导体关键制程之一)不会有今天这规模。 」。
台积电
2018-04-06 10:32
3181
经济学人:TSMC将首次超越Intel,成为全球最强芯片制造者
半导体行业观察:张忠谋六月要退休了,稍晚,台积电以最新技术制成的半导体也将出货;这也会是史上第一次,全球最强的芯片是由台积电而非英特尔制造。
台积电
芯片
2018-04-08 09:02
5036
台积电靠大陆摆脱对苹果的依赖?
半导体行业观察:全球最大半导体代工企业台积电正在采取行动摆脱对美国苹果智能手机的依赖
台积电
2018-04-08 09:02
3088
台积电单月营收破1000亿:超过中芯国际一年的收入
半导体行业观察:台积电昨(10)日公布3月营收为1,036.97亿元,创历史新高,也是台湾第一家单月营收规模冲上千亿元的半导体厂,月增率为60.4%
台积电
财报
2018-04-11 08:50
3941
分析机构:台积电们或在三年后赶超英特尔!
半导体行业观察:英特尔长期以来领先的芯片制造技术优势「正在消失」,而在开发新制程也处于落后阶段,台积电、三星和格芯有可能在2021 年时超越英特尔。
台积电
2018-04-18 08:41
3309
台积电:7nm营收占比年内会达到20%,挖矿芯片需求不确定性增加
半导体行业观察:台积电昨日举行了法说会,数据线上,2018年第一季,公司营运约比去年第四季高峰下滑一成,单季税后纯益约897.9亿元,季减9.6%,每股盈余为3.46元。
台积电
2018-04-20 09:00
2467
台积电业绩预警,引发全球半导体行业深度忧虑
半导体行业观察:台积电股价下跌6%——这是其自2013年以来最大的跌幅——此前该公司预计本季度销售额将比分析师预期的低约10亿美元。
台积电
2018-04-22 12:48
2901
对手奋起直追,专家依然看好台积电7nm
半导体行业观察:晶圆龙头台积电与三星在7 纳米战争进入白热化阶段。根据南韩媒体报导,三星将早半年时间完成7纳米制程
7nm
台积电
2018-04-23 08:59
2545
张忠谋将正式退休,新接班人要克服这三大挑战
半导体行业观察:台积电今年6月5日股东常会将改选董监事,届时董事长张忠谋正式退休。
台积电
2018-04-24 08:55
3699
台积电南京厂16nm即将量产,供应链快速集结
半导体行业观察:从2016 年7 月7 日动土,台积电南京厂仅花了20 个月,就实现16 纳米的晶圆量产。
台积电
南京
2018-05-01 12:04
2830
台积电7nm工艺量产:功耗降低65%
半导体行业观察:在7nm节点,台积电已经是雄心勃勃,除了AMD官方提到的7nm Vega芯片之外,台积电还手握50多个7nm芯片流片
台积电
2018-05-04 08:44
2886
大陆三公司推7nm芯片,台积电成最大受益者
半导体行业观察:今年大陆IC设计业者异军突起,包括专攻加密货币挖矿运算特殊应用芯片(ASIC)的比特大陆、华为旗下手机芯片设计厂海思
台积电
2018-05-07 08:30
3316
前进5纳米:台积电最新技术蓝图全览
半导体行业观察:持续同时朝多面向快速进展的晶圆代工大厂台积电(TSMC),于美国矽谷举行的年度技术研讨会上宣布其7纳米制程进入量产
台积电
2018-05-08 08:40
2997
[原创] 台积电新核心竞争力成型,三星和格芯正在奋起直追!
半导体行业观察:在作者看来,在封装工艺的投入,则会是台积电掌控未来的一个重要筹码。
封装
台积电
2018-05-11 09:15
原创
3979
历史时刻:台积电半导体技术将正式超越英特尔
半导体行业观察:根据《经济学人》(The Economist)的报导指出,在今年的下半年,台积电以其最新技术所制造出来的半导体(semiconductor)产品,将开始出货
台积电
2018-05-19 13:26
2321
台积电与三星的下一个战场:1.5nm
半导体行业观察:台积电与三星新一轮军备竞赛将开打,并以制程领先的台积电胜算较大。
三星
台积电
2018-05-23 08:48
3881
中芯国际追赶台积电为何那么辛苦?
半导体行业观察:5月21日,台湾地区“天下杂志”发文对大陆和中国台湾的半导体制造企业进行探讨。
台积电
中芯国际
2018-05-24 08:59
2980
台积电打败三星 独吞苹果订单
半导体行业观察:原本极力想瓜分苹果新世代处理器订单的三星半导体事业部门,抢单计划再落空。
三星
台积电
苹果
2018-05-28 08:39
2920
比特大陆和Arm紧抱台积电7nm大腿
半导体行业观察:在摩尔定律的指导下,芯片的制造工艺进入了7nm阶段。包括三星和台积电在内的晶圆厂都在加紧这方面的布局
比特大陆
台积电
2018-06-04 08:32
3938
[原创] 张忠谋今日正式退休,已为台积电布局好下一个十年
半导体行业观察:去年宣布退休的张忠谋在今日正式荣休。根据早前的消息显示,台积电将在今日召开股东常会,会中将改选包含五名独立董事在内的共九名董事。
张忠谋
台积电
2018-06-05 08:54
原创
3294
张忠谋:大陆半导体打不赢台积电
半导体行业观察:台积电创办人张忠谋昨(5)日表示,未来五至十年,大陆半导体业会有很大的进步,但届时仍将落后台积电五至七年。
半导体 集成电路
台积电
2018-06-06 08:57
3585
台湾三大半导体龙头月营收PK,台积电稳居第一
半导体行业观察:昨日,台湾代工、封测和设计三大龙头先后发布了五月的营收,其中台积电稳居第一。
台积电
2018-06-09 10:05
2344
称台积电7nm生产工艺已准备好大规模生产
半导体行业观察:台积电已经开始为2018年款iPhone生产7纳米工艺的A12处理器,比iPhone 8和iPhone X目前使用的10纳米芯片体积更小、速度更快、效率更高。
台积电
2018-06-10 12:38
2078
台积电砸7500亿强攻5nm
半导体行业观察:台积电7纳米已大量生产,5纳米将投入250亿美元(逾新台币7,500亿元)
台积电
2018-06-22 09:05
3429
传台积电挖矿芯片订单被砍五成
半导体行业观察:全球前两大虚拟货币挖矿机业者比特大陆和嘉楠耘智出货受冲击,可能对台积电和三星电子各再砍五成订单。
台积电
挖矿芯片
2018-06-23 13:11
2333
张忠谋:台积电面临无法预测的挑战,但充满信心
半导体行业观察:台积电选在今(10)日举行企业总部大楼揭牌典礼,正式命名为张忠谋大楼,并设立张忠谋讲堂。
台积电
2018-07-11 08:38
4118
外资对台积电提四大问题:7nm的前景是重点
半导体行业观察:台积电19日将举行法说会,当天同时是现金股利拨款日,市场一方面紧盯下半年展望,一方面推算推敲加码台积电时机,目光全在台积电身上。
台积电
2018-07-16 08:45
2179
台积电Q2挣了36亿美元,10nm营收占比已达13%
半导体行业观察:7月19日下午,全球最大的晶圆体代工厂台积电(TSM)公布了继董事长张忠谋退休后的首份季报并召开法说会
台积电
财报
2018-07-20 09:00
1890
南京台积电或将扩厂?
半导体行业观察:台积电资深副总兼财务长何丽梅昨(19)日表示,台积电目前现金流入非常健康,加上人才在台积电不仅有愿景
台积电
2018-07-22 12:27
1559
台积电将横扫这两个市场的芯片订单
半导体行业观察:台积电明年上半年将独步同业,成为全世界第一家采用最先进的极紫外光(EUV)微影设备完成量产的晶圆代工厂
台积电
2018-07-23 08:46
1518
台积电将迈进5nm时代,遥遥领先同行
半导体行业观察:根据市场消息,台积电预定在明年第1季进行5奈米制程风险性试产,将是全球第一家导入5奈米制程试产的晶圆代工厂
台积电
2018-07-24 09:04
1561
台积电为AMD代工7nm芯片,意味着什么?
半导体行业观察:AMD这个时候引入台积电的7nm代工,除了引入第二供应商,降低供货风险外,另外还有哪些可能性呢?
台积电
格芯
AMD
2018-07-28 10:27
4323
台积电新任CTO曝光
半导体行业观察:“新台积电时代”,新一波更大规模的接班梯队也正在快速集结中。
台积电
张忠谋
2018-08-02 08:31
7713
损失78亿,影响Nvidia和苹果芯片,台积电“病毒门”回顾
半导体行业观察:台积电指出,三大厂区中毒的机台已有八成恢复生产,有信心今天全数恢复正常。
台积电
计算机
2018-08-06 08:38
3596
台积电宣布45亿美元新投资,聚焦7nm扩产,特殊工艺和先进封装
这次加码,相信是台积电看到了这个市场更多的空间,或者说是看到了来自三星追赶的压力?
7nm
台积电
2018-08-15 09:16
2470
台积电3nm工厂迈出重要一步
半导体行业观察:台积电3nm建厂投资案跨出重要一步,环保署昨天初审通过「台南科学园区二期基地开发暨原一期基地变更计划环差案」
台积电
晶圆代工
2018-08-16 09:09
3550
台湾晶圆代工业可能永远称霸全球?
虽然还面对着来自其他地区(特别是中国大陆)业者的种种挑战,台湾在晶圆代工产业的龙头地位仍然屹立不摇。
台积电
联电
2018-08-16 09:09
2459
台积电7nm狂揽客户的底气
继德意志证券后,又一外资挺台积电夺下明年苹果A13处理器订单!
台积电
晶圆制造
2018-08-22 09:01
4879
台积电再获7nm大订单,来自日本公司
日本新创企业Triple-1完成加密货币挖矿运算特殊积体电路(ASIC)工程样品,将采用台积电7 纳米制程生产,预计第4季开始投片。
加密货币
台积电
2018-08-23 08:13
3276
孵化自己的台积电?江苏筹建集成电路工艺研究所!
“台湾工研院当年孵化出了台积电,我们就是想通过这种模式来创新发展集成电路产业,走出一条新路子,孵化出我们自己的台积电。”
南通
台积电
晶圆代工
2018-08-26 11:20
2122
还原台积电中毒事件始末,晶圆厂如何确保安全?
一只出现一年多的普通病毒,一个人为疏失,竟让台积电竹科、中科、南科厂区生产线大面积停摆
晶圆制造
台积电
2018-08-27 08:50
4123
7nm代工厂太少,台积电吞IBM订单倒计时
市场人士表示,除了AMD代工厂转向台积电之外,IBM未来恐怕也将把代工单转交由台积电来生产。
7nm
台积电
IBM
2018-08-31 08:39
3736
一个半导体老兵眼中的张忠谋先生
新闻报道全球首位半导体代工台积电创始人,张忠谋先生正式退休。 对我们从事半导体行业的人,这个新闻是一个心理上很深刻的事件。
张忠谋
台积电
2018-09-02 10:14
4081
台积电:不排除收购存储芯片公司
半导体行业观察:台积电董事长刘德音在参加台北国际半导体展受访时表示,松口「台积电不排除收购记忆体芯片公司」
台积电
存储芯片
2018-09-07 08:30
2674
假如没有他,就没有今天的台积电
他改变了全球半导体产业技术路径,让老大哥英特尔及设备商ASML、尼康等业内巨头,舍弃耗费逾10 亿美元和数年的研究心力,跟着他与台积电一起转弯。
晶圆制造
台积电
2018-09-09 12:16
3465
超车Intel,独吞苹果芯片订单后,刘德音透露台积电下一步大计
过去两个多月时间,台积电股价竟飙涨了26%!甩开两个主要对手英特尔、格罗方德后,台积电的下一步是什么?
晶圆制造
台积电
2018-09-11 08:36
3127
三星结盟对抗台积电,旨在抢7纳米 ASIC订单
台积电结合旗下创意分进合击,三星则与智原扩大合作,明年可望在7纳米及8纳米市场抢下多款ASIC订单。
台积电
晶圆制造
2018-09-12 08:42
3947
张忠谋:台积电面临美中日的挑战
台积电目前面临「大市场国家的国家主义」,以及水、电、土地及人才资源挑战,需要政府继续支持,另仍要面对竞争者的持续挑战。
晶圆制造
台积电
2018-09-17 08:53
2291
[原创] 台积电为何要进军存储业务?
仔细想一下,台积电的这种意图和战略,不正符合近些年整个半导体产业的发展、变化大势吗!
存储芯片
台积电
2018-09-18 08:54
原创
3228
[原创] 中国贡献全球90%的晶圆代工增长背后:台积电是最大的赢家
从IC insights的报告中我们看到,台积电才是中国芯片崛起的最大受益者。
晶圆代工
IC
台积电
2018-09-27 08:38
原创
3808
领先Intel 5年 台积电将于2019年4月试产5nm EUV制程工艺
台积电的7nm制程工艺在华为麒麟980、苹果A12、高通骁龙8150等芯片上顺利量产之后,现在将已经将目光转向了更先进的5nm。
麒麟980
台积电
2018-10-07 12:54
2574
首次引入EUV,台积电7nm+工艺明年Q2量产
台积电持续冲刺先进制程,采用极紫外光(EUV)微影技术的首款7+纳米芯片已经完成设计定案
7nm
台积电
EUV
2018-10-08 08:41
2946
台积电Q3营收季增11.6%至84亿美金,7nm居功至伟
半导体行业观察:晶圆代工龙头台积电虽然8月初受到电脑病毒影响晶圆产出,并宣布对营收影响约在2%以内
晶圆制造
台积电
2018-10-10 08:37
2344
台积电被曝将获苹果A13芯片订单,未来全球市场占有率或超60%
台媒援引产业链人士的消息称,近期苹果确认了2019年A13芯片全数交给了台积电。
苹果
A13芯片
台积电
2018-10-13 10:24
2345
[原创] 纯晶圆代工的春夏秋冬
这4家顶级纯晶圆代工厂的市场状况就如一年的四季,春夏秋冬,冷暖各异。
IC
台积电
2018-10-16 08:29
原创
3003
7纳米需求强劲,台积电Q4业绩有望创历史新高
晶圆代工厂台积电法人说明会将于18日登场,法人预期,在7 纳米制程出货畅旺带动下,台积电第4季业绩可望改写历史新高纪录。
台积电
晶圆代工
三星
2018-10-16 08:29
3294
台积电的隐忧!
台积电(TSMC)将成为苹果2019年A13芯片的唯一供应厂;而这代表台积电芯片的全球市占率很可能超过60%。
A13芯片
晶圆制造
台积电
2018-10-17 08:50
3106
郭明錤:苹果为Mac定制的Arm处理器或2020年问世
郭明錤表示 2020 年之后,Mac 电脑产线将迎来重大变革,因为开始有 Mac 机型搭载苹果自主设计的基于 ARM 架构的芯片。
苹果
郭明錤
台积电
2018-10-18 08:49
2530
台积电强推新堆叠技术,让同体积芯片性能增加两倍
近期,台积电(TSMC)开始多次提到它的一个新技术-「系统整合单芯片」,预计在2021年就将进行量产。
半导体封测
台积电
2018-10-19 09:01
3313
八英寸晶圆产能终于不再满载了?
有分离式元件厂商透露,8吋晶圆已经没有像之前这么缺货,由于往年的第1季都是传统淡季,因此接下来供需吃紧的情况,将有所转变。
台积电
联电
2018-10-21 11:10
2936
台积电:28nm仍非常重要
半导体行业观察:由于在成本和性能上的平衡,在很多专家看来,28nm将会持续很长一段时间
EUV
台积电
魏哲家
2018-10-22 08:54
3440
台积电CoWoS封装发力,扩大公司领先优势
台积电不仅在晶圆代工制程持续领先,并将搭配最先进封装技术,全力拉开与三星电子、英特尔差距
台积电
CoWoS封装
2018-10-26 08:51
4142
高通新芯片转单台积电,三星再失大客户
高通本次採用台积电7纳米制程投片,芯片运算效能将可望相较前一代提升不少,功耗亦可明显降低
高通
台积电
2018-10-29 08:21
4497
摩尔定律的三个方向
不要认为摩尔定律已经全面终结。如果你不缺钱,你现在可能手里有至少两部智能手机,它们就是摩尔定律尚有势力范围的证据。
摩尔定律
台积电
2018-10-30 08:10
3106
台积电南京厂正式量产,打破三大记录
南京厂是台积电最美的晶圆厂,也创下建厂最快、上线最快等台积电多项纪录,2020年月产能将扩增至两万片规模。
台积电
2018-11-01 08:22
9120
台积电电脑中毒背后的真正原因:每个工厂都在害怕
万物联网、智慧城市正夯,个人与企业如何因应这些潜在威胁?
晶圆制造
台积电
2018-11-04 10:49
3185
台积电3nm工厂一大麻烦:耗电太惊人
南科管理局今11月15日表示,一定可以在2周内完成各项资料的准备工作,让台积电的建厂工作,可以顺利进行。
台积电
沈荣津
2018-11-20 08:30
3127
预警,台积电业绩将下降16%!
半导体行业观察:晶圆代工厂台积电第4季营收可望改写历史新高纪录,不过外资预估,台积电明年第1季业绩恐将滑落,估季减14%至16%。
台积电
晶圆代工
2018-11-23 08:48
2334
台积电也开始降价,晶圆代工好景不再?
半导体行业观察:业界传出,台积电为因应明年首季产业淡季冲击,以及美中贸易战后续不确定性仍大,
台积电
晶圆代工
2018-12-03 08:31
2724
台积电大砍预算,半导体未来比想象更严峻?
台积电传出认为2019 年景气恐欠佳,决定勒紧裤带,不但将主管的商务用车降级,人员商务旅行的次数也遭删减。
台积电
孙又文
2018-12-10 08:54
2487
台积电谈28nm产能过剩的原因
对于世界上最大的晶圆代工厂TSMC 来说,2018年被迫多次修正经营目标的数字,绝对不是一帆风顺的一年。
台积电
2018-12-15 12:02
2796
中微5nm刻蚀机通过台积电认证
中微半导体设备(上海)有限公司收到一个好消息:其自主研制的5纳米等离子体刻蚀机经台积电验证,性能优良,将用于全球首条5纳米制程生产线。
台积电
集成电路
2018-12-18 08:26
2908
张忠谋:对IC产业长期看好
运算是集成电路(IC)的基本,未来无论有什么杀手级产品出现,都需要IC,也让IC产业长期看好。
张忠谋
台积电
2018-12-19 08:29
2083
3nm争夺战正式开打
昨天,台湾主管部门宣布,台积电3nm工厂环评正式通过,这个总投资规模约200亿美元的项目进入了一个新阶段。
3nm
台积电
2018-12-21 08:42
2899
没有他,就没有今天的台积电
“做科技是不分地域的,我们都是希望科技越做越好,希望大家不要恶性竞争,要良性竞争。”
台积电
林本坚
晶圆代工
2018-12-22 12:13
2668
从5G手机芯片到AI芯片,台积电通吃华为新芯片订单
华为全力提升芯片自给率,大动作采用16奈米及7奈米等先进制程,晶圆代工龙头台积电直接受惠,明年将通吃华为晶圆代工订单。
华为
晶圆代工
台积电
IC设计
2018-12-26 08:36
4410
[原创] 台积电与三星的晶圆代工争夺战详解
半导体行业观察:2017年,全球前八大晶圆代工厂占总市场份额的88%。其中,台积电继续占据主导地位,稳居第一。
晶圆代工
行业分析
台积电
三星
2018-12-27 08:56
原创
4670
中国晶圆代工的机遇与挑战!
晶圆代工(Foundry)在半导体产业链中的中流砥柱,是代工厂商给了芯片“生命”,今天我们来分析中国半导体晶圆代工行业如何缩短差距?
台积电
晶圆代工
2019-01-05 11:42
4513
台积电打造WLSI平台,积极布局先进封装
半导体行业观察:经过10年的构建,目前台积电已经完成晶圆级系统整合(WLSI)技术平台,该平台利用台积电公司工艺制程与产能的核心竞争力
WLSI
台积电
2019-01-06 11:04
5164
ICinsights:中国晶圆代工需求同比猛增41%,但与美国差距巨大
在2018年,纯粹的代工厂对中国的销售额增长了惊人的41%,超过了去年整个纯晶圆代工市场增长5%的8倍。
台积电
晶圆代工
2019-01-09 08:40
3117
分析师:台积电面对的四大挑战
无论看多或偏保守的外资券商,对台积电首季营收估计值都在季减10~15%之间
晶圆代工
台积电
2019-01-14 09:03
3430
[原创] 台积电黄金十年回顾
半导体行业观察:正如很多分析师所说,台积电和很多其他半导体厂商一样,在2019年将迎来大挑战。
台积电
晶圆代工
2019-01-18 08:59
原创
3157
3nm将于2022量产,台积电潜在客户曝光
工研院产科国际所预估,台积电今年进一步深耕极紫外光(EUV)制程的7纳米+先进晶圆代工制程。
3nm
台积电
2019-01-20 11:10
2782
华尔街日报:日子不好过的台积电
半导体双雄台积电与英特尔竞争激烈,台积电制程技术领先,业绩却受iPhone需求降温拖累。英特尔近期股价表现亮眼,有望缴出亮丽年报,但好运势不易维持。
台积电
晶圆代工
2019-01-20 11:10
2645
台积电未来押宝两大领域
1月17日,台积电公布2018年第四季度财务报告,台积电实现营业收入2897.7亿新台币(约合94亿美元),同比增长4.4%,环比增长11.3%,符合前期预期。
7nm
台积电
2019-01-21 08:41
2224
打败台积电,三星获英伟达7nm大单
22 日,南韩媒体《BusinessKorea》指出英伟达与三星将在近期签订契约,敲定这项交易。
英伟达
台积电
2019-01-24 08:42
2574
台积电报废近十万片晶圆,三家芯片厂受影响
晶圆代工龙头台积电南科Fab 14B厂昨日传出,晶圆生产制程中,采用到不合格光阻液,冲击生产良率下滑
台积电
2019-01-29 08:59
4727
台积电7nm产能紧张,AMD 7nm Navi显卡或推迟至10月发布
AMD CEO苏姿丰在前早前的财报会议上暗示2019年Q2季度之后会有7nm重点产品发布
台积电
AMD
2019-02-11 08:46
2948
7纳米EUV制程战火燃,台积电3月领先量产
延续7纳米制程领先优势,台积电支援极紫外光(EUV)微影技术的7纳米加强版(7+)制程将按既定时程于3月底正式量产
台积电
EUV
2019-02-12 08:59
2821
台积电报废晶圆或达10万片,影响全球电子供应链
业界估,台积电此次晶圆报废量高达10万片,几乎该厂一个月总产能都要重做,远高于预期。
台积电
2019-02-18 08:51
3775
台积电3nm研发中心环评遭质疑
环保署今天召开新竹科学工业园区宝山用地扩建计划环境影响说明书专案小组初审
台积电
2019-02-19 09:20
2403
台积电发放年终奖,人均24万人民币
晶圆代工龙头台积电昨日通过财报与现金股利,其中除核准去年盈余分配外,也核准员工现金奖金与酬劳
台积电
2019-02-20 09:08
2617
[原创] 智能手机乏力,台积电的未来成长靠什么
台积电需要寻找智能手机以的增长。那么问题来了,台积电是否能找到它?
晶圆代工
台积电
2019-02-21 09:09
原创
3435
苹果A14处理器曝光:台积电5nm工艺
2020年的新款iPhone所搭载的A14处理器,将采用最新的5nm工艺。
苹果
台积电
2019-02-23 11:17
3406
5nm即将试产,台积电大步迈入EUV时代
晶圆代工龙头台积电将在3月开始启动支援EUV技术的7+纳米量产计画,支援EUV的5纳米亦将同步进入试产。
EUV
台积电
2019-02-25 09:00
2803
NVIDIA已使用台积电5nm开发新品,不会完全转向三星
爆料称NVIDIA已经在基于台积电5nm工艺开发新品,所以说是不可能完全转向三星的。
NVIDIA
台积电
2019-02-28 08:51
2937
台积电面临的三大挑战
台积电2019年恐将面临3大挑战,而如何恢复业绩增速,AI、5G订单挹注将是关键,其中,动向不明的高通、英特尔5G基带芯片更会有显著影响。
台积电
晶圆制造
2019-03-04 08:56
2662
台积电业绩想翻身只能靠这个公司?
全球晶圆代工龙头台积电受到1月底光阻液影响晶圆事件影响,下修第1季业绩预期,并对全年业绩成长动能预测偏向保守
晶圆代工
台积电
2019-03-05 09:13
3406
[原创] 从三大晶圆代工厂去年Q4财报,我们看到了什么?
2018年全球硅晶圆面积出货量同比增长8%达到历史新高。
联电
晶圆代工
台积电
2019-03-14 08:56
原创
3485
台积电光阻剂事件影响运营,进一步牵动人事变动
台积电2019 年 1 月底所爆发的使用规格不符规格光阻剂,导致大量晶圆报废,连带影响营收状况的事件,如今牵动公司了内部两部门的人事异动。
台积电
晶圆代工
2019-03-21 09:00
3145
传台积电8吋厂锁定STM和苹果车用芯片大单
业界盛传台积电新8吋厂是因应意法半导体等车用芯片大厂订单,当中最重要的客户就是苹果传闻多年的Apple Car。
台积电
晶圆代工
2019-03-22 08:32
2922
半导体下半年回暖?很难!
整体而言,2019年半导体供应链业绩表现大多将难创新高,能有持平或小跌已相当不易。
刘德音
台积电
2019-04-03 09:08
3241
遥遥领先大陆,台积电5nm芯片开始试产
晶圆代工龙头台积电3日宣布,在开放创新平台之下推出5纳米设计架构的完整版本,协助客户实现支援下一世代先进行动及高效能运算应用产品的5纳米系统单晶片设计。
台积电
5nm
2019-04-05 10:59
3302
台积电:7nm领先对手至少一年,未来看好两大技术
半导体行业观察:晶圆代工龙头台积电( 2330-TW )今(17)日上传年报,董事长刘德音与总裁魏哲
台积电
7nm
2019-04-18 08:47
2771
利润大跌30%,台积电却说半导体将迎来复苏
半导体行业观察:台积电公布,第一季受到淡季以及光阻剂事件影响,单季合并营收约新台币218
晶圆制造
台积电
2019-04-19 08:17
2495
台积电封装技术获新突破,独抢苹果订单
台积电一条龙布局再突破,完成全球首颗3D IC封装技术,预计2021年量产。业界认为,台积电3D IC封装技术主要为未来苹果新世代处理器导入5纳米以下先进制程,
台积电
半导体封装
2019-04-22 09:29
4513
台积电八项技术领先全球
全球晶圆代工龙头台积电23日宣布庆祝北美技术论坛举办25周年。该公司表示,过去两年,台积电在8项先进技术、特殊技术,以及封装技术等领域引领业界,包括5纳米设计基础架构和量产7纳米技术等。
晶圆代工
台积电
2019-04-24 08:47
2086
台积电攻防战
前些天,在发布第一季度财报时,针对一些传闻,台积电CEO表示:“目前并没有收购计划。当然,如果出现符合公司战略的好机会,我们会考虑。现阶段,我们会对已有资产进行高效整合,以实现进一步发展。”
台积电
晶圆制造
2019-04-26 09:11
2244
力拼三星,台积电3nm制程进展神速
说起半导体技术发展,总是离不开「摩尔定律」:由英特尔创始人之一的Gordon Moore 高登摩尔提出的,这定律是指,价格不变的情况下,积体电路上可容纳的晶体管数目,约每隔两年便会增加一倍,效能也会增加一倍。
三星
台积电
2019-04-27 09:29
3374
台积电最新技术分享,不再是单纯的晶圆代工厂
每年,台积电都会在全球举办两场大型客户活动——春季台积电技术研讨会和秋季台积电开放式创新平台生态系统论坛。技术研讨会最近在加州圣克拉拉举行,广泛介绍先进半导体和封装技术发展的最新情况。
IC封装
台积电
2019-05-05 09:12
6372
台积电有望于下周迎来历史性时刻
台积电半导体晶圆代工技术全球无人可及,苹果、华为、高通等全球重量级大客户订单蜂拥,外资买不停,
台积电
晶圆制造
2019-05-04 09:15
3066
三星想超车台积电?这基本是个不可能的任务!
全球晶圆代工龙头台积电过去10多年来完全阻绝拥有大陆政府奥援的中芯国际挑战,近日又再遭遇取得南韩政府下战帖,目标力助三星在2030年直取全球晶圆代工王位。
台积电
三星
2019-05-06 08:33
2633
台积电明年量产5nm,2021年量产5nm+
晶圆代工龙头台积电制程推进再下一城,除5纳米已顺利试产并计划明年量产外,量产一年后将再推出效能及功耗表现更好的5+纳米,直接拉大与竞争对手的技术差距。
5nm制程
台积电
2019-05-08 08:48
4992
同时挑战台积电与索尼,三星拼了!
2019年4月24日,三星电子公布了未来的投资计划和目标。三星电子的投资计划,将在未来12年内(1999年至2030年)将投资133万亿韩元(约1200亿美元)加强系统LSI和晶圆代工业务方面的竞争力,扩大非存储器业务。
三星
台积电
2019-05-14 08:52
3315
先进制程疯狂烧钱,台积电今年投资近百亿美元研发!
台积电昨14日举行董事会,通过1,217.81亿元资本预算,除升级先进制程产能外,也用于转换部分逻辑制程产能为特殊制程产能。
台积电
2019-05-15 10:32
3746
台积电7nm工艺比Intel 10nm工艺还要好?
随着三星因为存储芯片跌价导致营收大降34%之后,Intel今年Q1季度终于夺回了全球半导体一哥的宝座
台积电
Intel
2019-05-18 11:33
2657
台积电:5nm明年Q1量产,南京厂尚未计划引进7nm
台积电昨日举办技术论坛,今年台积电总产能将以7纳米成长最多,第二代加入EUV的7纳米预计第3季量产,今年7纳米总产能将增加1.5倍,达到100万片约当12吋晶圆。而5纳米一期也已开始装机,预计明年第1季量产。
5nm
台积电
2019-05-24 08:54
2974
[原创] 台积电与三星的3nm之争
4月18日,在台积电召开第一季度财报会议中,台积电指出3nm技术已经进入全面开发的阶段。5月15日,三星在Foundry Forum活动中,发布了公司第一款3nm工艺的产品设计套件。
三星
台积电
2019-05-19 11:09
原创
4132
台积电三星争霸战,EUV技术成决胜关键
半导体代工企业间的竞争越演越烈,台积电与三星电子也争先恐后地加强开发速度,EUV(极紫外线)技术将成为决胜关键。
三星
台积电
2019-05-31 09:02
2529
[原创] 台积电在美建厂或并购的猜想
昨天,在台积电股东大会上,董事长刘德音表示:“公司评估过在美国建厂的可能性,态度是不排除同时也是考虑中,不过,由于美国建厂成本很高,一直没有找到符合成本效益的方案,
刘德音
台积电
2019-06-06 08:25
原创
2462
击退台积电,三星抢回高通订单
半导体行业观察:世界最大的智能手机应用处理器企业高通将下一代芯片代工订单发给了三星,三星重新夺回了曾被台积电夺去的大规模代工订单,预计三星晶圆代工业绩将得到大幅改善。
高通
台积电
三星
2019-06-11 08:55
3055
三星代工厂疯狂抢单,台积电感到压力了吗
据theinvestor消息,三星电子为扩大芯片制造合作伙伴关系,增加其在全球晶圆代工领域的业务,正与德国半导体公司英飞凌科技(Infineon Technologies)就汽车的功率半导体产品代工合作进行谈判。
台积电
2019-06-13 08:48
3243
台积电2nm计划披露,或于2025前面世
为迎接台积电3纳米厂研发及先期量产,环保署11日初审通过竹科宝山用地扩建计划。
台积电
2019-06-13 08:48
1959
台积电这个秘密武器将决定未来芯片走势
晶圆代工大厂台积电的真正重头戏在酷热的台南。
芯片
台积电
2019-07-03 10:52
2188
三星7nm首次打赢台积电
台积电大客户全球绘图芯片龙头英伟达(Nvidia)2日证实,已与三星达成晶圆代工协议,委托生产下一代7纳米绘图处理器(GPU)芯片。台积电不评论单一客户与订单动态。
三星
台积电
2019-07-03 10:52
3204
3D封装火热,台积电和英特尔各领风骚
自2018年4月始,台积电已在众多技术论坛或研讨会中揭露创新的SoIC技术,这个被誉为再度狠甩三星在后的秘密武器,究竟是如何厉害?
英特尔
台积电
2019-07-05 17:33
2666
大摩:三星抢不走台积电的7nm订单
日前最大的产业新闻,莫过于有南韩媒体报导,绘图芯片大厂英伟达(NVIDIA)已经对外证实,将会把旗下最新一代的7纳米制程绘图芯片
台积电
芯片
2019-07-05 17:33
2723
台积电强攻先进封装,芯片未来靠它了
TSMC(台积电)在不久前的芯片领域顶级会议VLSI Symposium上一连发布了两篇与高级封装有关的论文,分别对应了其高端3D和2.5D封装技术。近来,高级封装领域正在变得越来越热,本文将分析其中的趋势。
台积电
芯片
2019-07-09 14:05
5164
[原创] AMD逆袭的新武器:Zen 2核心详解
在过去的一个月里,AMD发布了许多公告。AMD正在准备推出他们的第三代Ryzen台式机处理器。这些处理器将利用AMD最新的微架构Zen 2,采用台积电领先的7nm工艺制造。
AMD
台积电
2019-07-10 11:26
原创
3388
台积电3nm工厂提速,或今年开工
环保署环评大会昨通过新竹科学工业园区宝山用地扩建计划,台积电将设置三纳米制程及量产的研发厂房,投资金额超过千亿元,估计两千三百名人才进驻。
台积电
3nm
2019-07-11 11:35
3319
7nm大挣,台积电加紧布局5nm
晶圆代工龙头台积电18日召开法人说明会,董事长刘德音及总裁魏哲家看好下半年智慧型手机、高效能运算(HPC)等强劲需求,带动7纳米产能全线满载到年底,
台积电
5nm
2019-07-19 10:44
2688
外媒:苹果A14将率先用上5nm
据外媒报道,7月19日,台积电(TSMC)首席财务官何丽梅表示,受5G智能手机需求的推动,台积电5纳米制造工艺预计于2020年上半年实现量产,这意味着苹果公司的下一代A系列处理器将率先采用5纳米制造工艺。
台积电
苹果
2019-07-22 14:19
2388
日韩贸易战可能导致的三个后果
一场可能牵动苹果、台积电与鸿海等厂商的危机,正在约1,500 公里外的朝鲜半岛爆发。
台积电
鸿海
2019-07-22 14:19
2517
挖矿热潮再起,比特大陆7nm订单挤破台积电
供应链传出,台积电 7 纳米产能供不应求之际,再获比特大陆急单,台积电为此紧急追加7纳米产能
比特大陆
台积电
2019-07-29 14:25
3067
三星:公司3nm领先台积电一年,领先英特尔三年
尽管日韩贸易冲突持续延烧,三星电子原定9月在日本东京的晶圆代工论坛将如期举行。三星届时预料将展示自家先进制程技术,并提供用于生产3纳米以下芯片,名为「环绕闸极」(GAA)技术的制程套件。
台积电
三星
三星
2019-07-30 13:48
2222
外媒:中国半导体10年内赶不上台韩
财经媒体CNBC报导,美中贸易战延烧之际,中国要达到半导体自主的目标很难,主因中国最大芯片制造商中芯国际(SMIC)仍落后台积电、三星电子等全球主要竞争对手多年。
中国
台积电
2019-08-07 12:46
4224
台积电旧将出任中芯国际独董
半导体行业观察:大陆晶圆代工龙头中芯国际宣布,延揽前台积电研发处处长杨光磊出任独立董事,即日起生效。杨光磊是继台积电前共同营运长蒋尚义,以及前资深处长梁孟松之后,又一台积电前高层赴对岸发展,引起高度关注。
台积电
中芯国际
2019-08-09 08:59
3173
逆势投巨额建厂,台积电底气何来?
根据WSTS针对全球半导体产业的统计发现,今年第二季全球半导体市场销售值982亿美元,虽比起上一季微幅成长0.3%,但与去年同期相比却大幅衰退16.8%。
ST
台积电
2019-08-15 09:14
2425
台积电、日月光同时看上这个半导体商机
异构整合是半导体产业延伸摩尔定律的新显学。随着台湾半导体产业群聚效应扩大,台积电、日月光投控和鸿海等科技业三巨头,分别从晶圆代工、半导体封测、电子代工等既有优势切入,抢食异构芯片整合商机。
台积电
日月光
2019-08-19 08:30
3594
爱的脚步 永不停歇-台积电张淑芬女士慈善中国行
有幸生而为人,便一生在修行
张淑芬
台积电
2019-08-20 19:06
4917
英特尔新品采用了台积电16nm制程
现在深度学习已成为人工智能的重要方向,而且研究成果已经应用于日常使用中。
英特尔
台积电
2019-08-21 08:43
2118
台积电谈如何延续摩尔定律!
在日前于美国举办的Hotchips上,台积电负责新技术研究的Phillip Wong博士做了一个题为《What will the next node offer us》的演讲,他就摩尔定律未来的看法、
台积电
摩尔定律
2019-08-22 17:14
4838
台积电先进封装的重要里程碑
晶圆代工龙头台积电7纳米制程接单满载到年底,受惠于苹果、赛灵思(Xilinx)、博通(Broadcom)、超微(AMD)、联发科等大客户订单涌入,
台积电
超微
2019-08-26 08:50
29893
外资:台积电技高一筹,不怕格芯告
半导体行业观察:昨天,全球第二大晶圆厂格芯突然宣布,将在德国、美国法院递状控告台积电、联发科、华硕、苹果等20 家业者侵权,扬言要寻求禁制令,阻止部分iPhone、AirPods 等产品进入美国及德国贩售。
格芯
台积电
2019-08-28 09:15
3180
华为海思将超越苹果,成为台积电最大客户?
前不久,华为旗下的半导体设计子公司海思的注册资金从6亿元增加到了20亿元,这是华为海思半导体规模不断壮大的一个信号。
华为海思
台积电
2019-08-30 10:40
1939
台积电高薪招聘3000员工,加速新工艺研发
台积电扩大征才,今年要找逾3,000名新血。日前,他们在新竹举办招募面试会,吸引近300名求职者参与面谈,其中不乏已在其他公司任职想转换跑道的好手。
台积电
新竹
2019-09-03 08:41
4372
韩媒:三星追赶台积电,太难了!
根据南韩媒体最新的报导指出,积极抢食台积电晶圆代工市场的三星半导体代工事业,在2019 年第2 季的全球市占率停滞不前......
三星
台积电
三星
2019-09-10 09:20
2997
高通骁龙将回归台积电代工?
骁龙865会由三星7nm EUV工艺代工,不再是台积电代工。
台积电
高通
2019-09-16 08:50
2847
台积电将建全球首家2nm工厂
半导体行业观察:今天,据外媒报道,台积电正式开启2nm工艺的研发工作,并在位于中国台湾新竹的南方科技园建立2nm工厂。
2nm
台积电
2019-09-19 08:39
2268
五大芯片厂开抢台积电5nm
半导体行业观察:台积电 7纳米产能爆满之际,5纳米布局也传捷报。在苹果、海思、超微、比特大陆和赛灵思五大客户都决定采用5纳米作为下世代主力芯片制程下,台积电5纳米需求超预期。
5nm
台积电
2019-09-23 08:56
3371
韩媒:台积电进一步拉大和三星的差距
全球最大的半导体代工厂台积电 5纳米及3纳米进展顺利,南韩媒体也承认,相较于三星正苦于不确定性增加,两家公司的差距还会拉大。
三星
三星
台积电
2019-09-24 09:00
3082
台积电7nm供不应求,最大苦主是它
半导体行业观察:台积电7nm产能爆棚苦主出现?美商超威(AMD)日前透过电邮宣布,原定9月底上市的Ryzen 9 3950X处理器将延至11月推出,业界认为,应与台积电7nm满载、供不应求有关。
台积电
7nm
2019-09-25 11:04
2865
台积电40nm以下制程营收约为格芯、联电和SMIC总和的七倍
半导体行业观察:科技市调机构IC Insights相信,台积电 (2330)大举投资最新的晶圆制程科技、有望在今(2019)年下半年获得丰硕回报。
台积电
格芯
2019-09-27 08:41
2324
台积电市值冲新高背后:这项技术功不可没
半导体行业观察:台积电正在投入5纳米及3纳米先进制程,但在先进封装技术上也持续推进,小芯片(Chiplet)系统封装正成为台积电主要客户所重用的技术。
芯片
台积电
2019-09-29 09:21
2957
[原创] 台积电谈3D异构封装的未来发展
半导体行业观察:最近在圣克拉拉举行的开放创新平台生态系统论坛上,台积电(TSMC)对异构封装的未来进行了展望。尽管Chiplet packaging经常被用来描述具有潜在广泛变化功能的多个硅芯片的集成,但本文将使用“异构封装”来代表它。
封装
台积电
2019-10-10 09:11
原创
4136
台积电深陷险地,皆因张忠谋五年前没做这件事?
半导体行业观察:儘管台积电王者气势无人能敌,但也不能说完全没风险。格芯在八月底发动大规模专利诉讼战即便看起来胜算极低,但势必还是会让台积电先付出一笔高昂官司费用,万一有个闪失,代价可能会高达数十亿美金。
台积电
张忠谋
2019-10-11 08:52
2005
英特尔放大招,台积电成受害者?
半导体行业观察:今年7月,处理器大厂AMD携手台积电,推出用最先进的七纳米制程制造的PC处理器ZEN 2,挑战半导体天王英特尔。
台积电
英特尔
2019-10-14 08:49
2131
[原创] 六大芯片制造厂的制程工艺演进之路
半导体行业观察:当下,半导体制造业发展得如火如荼,特别是以台积电为代表的晶圆代工业,在对更先进制程工艺的不断追求下,使得产业链上的相关企业备受关注,也拉动着产业投资。
台积电
晶圆代工
2019-10-15 09:04
原创
4319
台积电5nm投资加速
半导体行业观察:晶圆代工龙头台积电第三季法人说明会将于17日登场,由总裁魏哲家及新任财务长黄仁昭共同主持。
5nm
台积电
2019-10-15 09:04
3535
台积电强的可不止逻辑芯片代工
半导体行业观察:因为在先进工艺和市场上的遥遥领先,台积电一直被大家所熟知,但其实台积电厉害的并不知道逻辑芯片代工,因为入股了世界先进,他们极大拓展了其边界。
台积电
芯片代工
2019-10-16 09:14
2501
台积电再放大招,惊人投资曝光
半导体行业观察:全球晶圆代工龙头台积电在17日法说会在即,利多消息不断也推升股价飙涨至接近300元大关,不仅7纳米制程产能满载至年底前,其他先进制程例如极紫外光(EUV)微影技术7纳米强效版(N7+)制程,已协助客户产品大量进入市场
EUV
台积电
2019-10-17 09:02
2205
台积电5nm工艺详细解读
半导体行业观察:小编闲扯5nm
5nm
台积电
2019-10-21 08:55
3763
[原创] 英特尔走到了三岔口
半导体行业观察:在合理地确定了过去五年的预测之后,本文讨论了这两家公司未来五年可能面临的情况。
台积电
英特尔
2019-10-23 09:04
原创
2562
台积电首个5nm客户曝光,明年上半年量产!
晶圆代工龙头台积电5纳米接单再传捷报!据外电消息,苹果针对明年iPhone 12系列打造的全新A14应用处理器,采用台积电5纳米制程生产,传已于9月底顺利送样。
台积电
5nm
2019-10-23 09:04
5198
为什么说台积电如履薄冰
半导体行业观察:日前台积电在法人说明会中公布财报,今年第3季台积电营业额2930.5亿元,创下历史新高,较去年同期成长12.6%,较第2季成长21.6%;获利方面亦创新高,第3季税后纯益高达1010.7亿元......
台积电
三星
2019-10-24 08:52
2034
专利大战熄火:格芯与台积电达成和解
半导体行业观察:台积电与格芯互控侵犯专利权案圆满落幕,双方就现有及未来10年将申请的半导体技术专利达成全球专利交互授权协议。
台积电
格芯
2019-10-29 09:08
1647
台积电史上最高资本支出,ASML成最大赢家
半导体行业观察:台积电在10月17日召开的第3季法说会上,宣布上调资本支出40亿元,由原先的100亿~110亿美元,大幅上调至140~150亿美元,创下台积电单年资本支出的新高纪录。
台积电
ASML
2019-10-31 09:11
3711
新增8000研发,200亿美元,台积电强攻3nm
半导体行业观察:台积电董事长刘德音昨(31)日主持台湾半导体产业协会(TSIA)年度论坛结语时透露,台积电会加大研发能量,将于竹科新建研发中心,打造成台湾的贝尔实验室......
台积电
3nm
2019-11-01 09:05
3339
台积电:7nm产能持续紧张
半导体行业观察:晶圆代工龙头台积电7纳米产能第四季接单全满,明年上半年同样供不应求,虽然设备业界传出7纳米可能涨价消息,不过几位采用7奈米投片的台积电客户均表示并没有涨价情况。
台积电
7nm
2019-11-04 09:21
3055
张忠谋:我带给台积电的不是技术,而是国际观
半导体行业观察:台积电创办人张忠谋5日以自身经验分享他如何带领台积电。他坦言早在担任工研院院长时期就已经不搞技术了,他带给台积电的其实就是世界观,而世界观也正是他引领台积电能突飞猛进的核心「技术」。
台积电
张忠谋
2019-11-06 09:03
2435
德州仪器,究竟是家怎样的企业?
半导体行业观察:台积电创办人张忠谋在近日出席台积电运动会时说,自己人生只分为两个阶段:一是为德州仪器(Texas Instruments)效命,一是为台积电效命。因为对公司有信心,他只投资这两档股票。
德州仪器
台积电
2019-11-13 09:12
3399
不止3nm,台积电自有封测厂也在路上
半导体行业观察:台积电冲刺5纳米以下先进制程,南科晶圆18厂是重要主力。台南市经发局昨(12)日表示,台积电南科5纳米厂目前已进入试产,预计明年量产,3纳米新厂可望于明年动工,总计5纳米与3纳米投资额达1.15兆元。
南科
台积电
2019-11-13 09:12
3959
台积电大爆发的幕后推手
半导体行业观察:台积电创办人张忠谋交班后的500天,真正接班人的样貌终于愈来愈清晰,台积电4.8万名员工,除内控单位之外,全部都由一个人指挥,他是台积电总裁暨副董事长魏哲家,现在台积电里,最有权力的人。
魏哲家
台积电
2019-11-14 09:06
2071
台积电22位高管曝光,迎接后张忠谋时代
半导体行业观察:台积在张忠谋退休之后,陆续传出高阶主管人事异动的消息。分析新面孔,可以看到不少海外华人,打破台湾人多数的布局。台积用人有何考量?又如何影响未来营运?
张忠谋
台积电
2019-11-20 09:34
6902
台积电称霸晶圆代工市场的四大原因
半导体行业观察:全球经济一片愁云惨雾,多数金融机构都预期2020年,将是金融海啸以来经济表现最差的一年。
台积电
晶圆代工
2019-11-22 10:27
3622
[原创] 二线晶圆厂的角逐
半导体行业观察:随着工艺节点的推进,因为技术难度的增加,投入成本的大幅增加,先进制程现已经成为三星和台积电两家的游戏。
晶圆厂
台积电
2019-11-23 09:50
原创
3179
2020年半导体市场的五大趋势
半导体行业观察:2020年进入最后倒数,挥别2019年美中对抗,从关税、金融一路打到科技战,全球经济景气下行抵挡不住科技趋势向前迈进,从全球半导体大厂英特尔、台积电、三星大增资本支出,显现国际大厂提前嗅到科技潮流商机......
台积电
英特尔
2019-11-24 10:03
2284
超越三星电子,台积电成亚洲市值最高科技公司
半导体行业观察:过去,台韩的半导体大战,韩国三星包山包海的模式一向领先专注于晶圆代工的台积电,如今情势出现逆转,台积电正超越三星,成为亚洲市值最高的科技公司!
台积电
亚洲
2019-11-26 09:23
5391
从DRAM转向晶圆代工,这家厂商立志成为“小台积电”
半导体行业观察:力晶科技转型晶圆代工有成,内部估算去年获利逾100亿元,连续六年达到获利百亿元目标,写下记忆体厂转型晶圆代工的惊奇一页。
晶圆代工
台积电
2019-11-28 09:00
2741
半导体行业春天真的要来了?
半导体行业观察:虽然即将即将进入第一季传统需求淡季;但Apple部分产品持续利好,台积电半导体业在先进制程持续发威、5G SoC芯片竞争也进入白热化。
台积电
SoC芯片
2019-11-29 09:08
2717
台积电5nm进度加快,良率已达40%
半导体行业观察:晶圆代工龙头台积电5纳米制程明年将量产,已进入风险试产阶段,美系外资指出,目前5纳米制程良率约35-40%,以同时间来看,表现已优于7奈米,可望在明年7月量产
台积电
5纳米制程
2019-11-30 11:12
5056
台积电:5纳米明年量产,3纳米2022年量产
半导体行业观察:台积电昨(5)日举行供应链管理论坛,在700多名供应链高层面前揭示三项重要指标:包括5纳米明年上半年量产
台积电
3纳米
2019-12-06 09:12
5508
分析师:台积电五年内量产2nm
半导体行业观察:台积电 5奈米技压三星,外资摩根大通最新调查,台积电先进制程跨大步,在更利基的2纳米也有机会领先对手,最快2024年、即五年内量产2纳米;将是最早大量产2奈米的晶圆代工厂,抢食华为、苹果等客户大单。
台积电
2nm
2019-12-09 09:17
3239
外资全线看好,半导体正式回温?
半导体行业观察:台积电ADR 6日股价达54.94美元再创新高,外资将资金注意度拉回半导体!
台积电
日月光
2019-12-09 09:17
38501
机构:台积电明年营收或劲增16%
半导体行业观察:亚系外资发布晶圆代工厂研究报告,看好iPhone新机、三星影像感测器等订单将推升明年半导体需求,维持台积电、联电、世界先进优于大盘评等,目标价调高到385元、20元、90元。
台积电
世界先进
2019-12-16 08:56
2339
[原创] 10nm的尴尬
半导体行业观察:目前,业界量产的最先进制程已经来到了7nm,上一代工艺则是10nm。而有公开的10nm规划,且已经或即将量产该工艺节点芯片的厂商,也只有台积电、三星和英特尔这三家了。
10nm
台积电
2019-12-17 09:17
原创
2933
台积电5nm深度解读
半导体行业观察:Scotten Jones 来自会场的总结报导
台积电
5nm
2019-12-17 09:17
4278
日经:四大半导体厂商成为当下环境的赢家
半导体行业观察:根据《日经亚洲评论》报导指出,因为受惠于当前的环境,台湾 4 家大型半导体厂商,包括台积电、联电、联发科、以及日月光投控自 2019 年 6 月以来开始业绩强劲复苏,甚至到 11 月营收更大幅提升 8%......
台积电
日月光
2019-12-21 11:30
1665
跨越英特尔称霸全球,台积电凭什么?
半导体行业观察:全球经济在2019年的美中角力下,面对全球政治风暴的逆袭。在风暴逆境中,还能发光,才能显现一家企业的真正价值。2019年即将过去,商周认为今年最值得你重新认识的年度企业,就是台积电。
台积电
英特尔
2019-12-26 09:03
5450
中国台湾另一个台积电:稳懋的进击之路
半导体行业观察:稳懋半导体董事长陈进财爱写书法;这天,备妥笔墨纸砚,我们请他现场挥毫。几个字眼在他脑中来回琢磨,最后他选定这两个字,为自己的人生与事业拼搏过程写下注解:逆战。
台积电
台湾
2019-12-30 09:06
3390
知情人:苹果包下台积电三分之二5nm产能
半导体行业观察:苹果2020年下半年将推出四款iPhone 12系列智能手机,除搭载运算效能更强大的A14 Bionic处理器,也会搭载高通Snapdragon X55数据机,并依各国5G网络不同而仅支援Sub-6GHz......
苹果
台积电
2019-12-30 09:06
1813
张忠谋:三星电子是很厉害的对手,台积电还没有赢!
半导体行业观察:台积电近期股价狂飙,还登上全球市值第17大企业,超越英特尔、迪士尼。《天下》独家专访退休一年半的台积电创办人张忠谋,回溯台积能愈走愈稳、愈赢愈多,背后其实有个最大最早的原因。
台积电
张忠谋
2019-12-31 09:22
2561
台积电三星3nm竞争白热化
半导体行业观察:台积电在7纳米、5纳米制程完封三星后,目前加快3纳米研发,以延续领先地位,不过,对手三星也积极抢进......
三星
台积电
2020-01-04 10:23
2759
台积电7nm最大客户将易主
半导体行业观察:供应链消息称,2020年下半年,由于苹果转向5nm工艺,芯片制造商AMD将成台积电7nm第一大客户。
7nm
台积电
2020-01-05 10:32
3176
降价抢单,三星还是难以撼动台积电
半导体行业观察:最近,关于三星降价抢单台积电的消息甚嚣尘上。但其实这并不是三星首次这样做。
三星
台积电
2020-01-08 09:17
2385
台积电营收创三大奇迹
半导体行业观察:受惠于智能手机、高效能运算的需求挤爆7纳米产能,台积电昨(10)日公告去年12月合并营收 1,033.13亿元,月减4.2%、年增15%,连五个月营收突破千亿元
台积电
智能手机
2020-01-11 11:34
2252
台积电今年资本支出将达120亿美金
半导体行业观察:第一季法说会重头戏台积电周四登场,除聚焦本季营运预估与全年半导体景气展望之外,法人圈关注今(年资本支出情况,5 纳米量产时间、良率及首批投片客户数量。
台积电
华为
2020-01-14 09:47
2637
7nm抢破头,台积电启动产能配置
半导体行业观察:晶圆代工龙头台积电今日将召开法人说明会,董事长刘德音及总裁魏哲家将共同出席,说明2020年半导体市场景气,以及7奈米及5奈米先进制程最新进度。
台积电
7nm
2020-01-16 08:45
5052
3nm渐行渐近,先进制程如何延续?
半导体行业观察:作为摩尔定律最忠实的追随者与推动者,台积电、三星已经挑起3nm的战局。
3nm
台积电
2020-01-16 08:45
3075
台积电资本支出创历史新高
半导体行业观察:今天,台积电公布2019年第4季财务报告,合并营收约3,172.4亿元新台币,税后纯益1,160.4亿4,000万元,每股盈余(EPS)为4.47元(折合美国存托凭证每单位为0.73美...
台积电
EPS
2020-01-17 09:09
4484
越来越“可怕”的台积电
半导体行业观察:台积电是一家既可敬又可怕的企业,可敬的是其突破性的芯片代工模式颠覆了半导体行业的游戏规则;
台积电
芯片代工
2020-01-29 10:27
4284
台积电逻辑IC产能称霸全球
半导体行业观察:根据市调机构IC Insights最新全球晶圆产能报告,全球有53%的晶圆厂产能,掌握在三星、台积电、美光、SK海力士、铠侠(Kioxia)/西部数据(WD)等五大半导体厂手中。
台积电
逻辑IC
2020-02-14 08:54
2622
知情人士:台积电5nm产能已经被抢光
半导体行业观察:晶圆代工龙头台积电5纳米制程将于第二季正式进入量产。据设备业者消息,下半年台积电5纳米接单已满,除了苹果新一代A14应用处理器
台积电
5nm
2020-02-20 09:15
1800
台积电携手意法,抢车用GaN代工市场
半导体行业观察:看准未来化合物半导体的发展,意法半导体(ST)与晶圆代工龙头台积电宣布携手合作,加速氮化镓(Gallium Nitride, GaN)制程技术的开发,并将分离式与整合式氮化镓元件导入市场。
GaN
台积电
2020-02-21 08:57
2438
台积电招聘1500人,冲刺5nm
半导体行业观察:据台湾媒体报道,虽然全球经济受到黑天鹅事件的影响,但台湾企业征才意愿不减。
台湾
台积电
2020-02-25 09:00
2686
台积电营收连续十年创新高,关键在这里
半导体行业观察:台积电2019年合并营收达364.32亿美元,虽仅较前年小幅成长1.3%,但却已经是连续第10度的年营收改写历史新高,而其中关键就在于他们领先竞争同业持续推出先进制程。
台积电
EUV
2020-03-02 09:51
2128
关键一步补全,台积电5nm开足马力
半导体行业观察:台积电完成5纳米晶圆代工到后段封装测试的一条龙制程,并确保今年成为全球唯一量产5纳米的半导体厂。
台积电
5nm
2020-03-04 09:22
1874
[原创] 群雄争食5nm盛宴
半导体行业观察:目前的5nm制造玩家,只有台积电和三星这两家了。而三星要到明年才能实现量产,就今年来看,台积电将统治全球的5nm产业链。
5nm
台积电
2020-03-05 08:40
原创
1935
台积电5nm SRAM技术细节
半导体行业观察:长期以来,技术领先一直是台积电成功的关键。台积电5nm工艺拥有世界上最小的SRAM单元(0.021平方微米)
台积电
RAM
2020-03-08 11:47
4125
美对华为制裁结果即将揭晓,台积电受密切关注
半导体行业观察:美国政府原定 2 月底召开的内阁层级会议,改期至 3 月 11 日,会中将拟定对中国与华为方针,传将进一步加大施压力道,对企业出货华为采取更严格规则,由于华为是晶圆代工龙头台积电大客户,市场将密切关注对台积电的影响。
华为
台积电
2020-03-08 11:47
9514
[原创] 从半导体巨头最新财报看产业未来
半导体行业观察:2019年对于半导体行业来说,是艰难的一年。受到市场周期性影响和贸易变化的影响,很多半导体企业的营收在2019年当中出现了下滑。
台积电
兆易创新
2020-03-09 09:18
原创
1893
为什么没人看衰台积电?
半导体行业观察:疫情加剧,终端市场需求不振,台积电成为关键指标!
台积电
华为
2020-03-09 09:18
3299
[原创] 先进制程产能是如何被瓜分的?
半导体行业观察:目前,已经量产和即将量产的最先进制程主要是7nm和5nm,此外,8nm和6nm作为过渡性质的制程,也占据着一定的市场份额。而具备这几种先进制程量产能力的厂商也只有台积电和三星这两家了。
台积电
7nm
2020-03-10 09:08
原创
2312
日经:半导体设备将迎来换代潮
半导体行业观察:台湾积体电路制造(简称台积电、TSMC)和英特尔将增加2020年的设备投资,增至历史最高水平。
半导体设备
台积电
2020-03-10 09:08
2543
AirPods被传砍单25%,供应链压力山大
半导体行业观察:美国境内新冠肺炎疫情确诊人数持续攀升,继外资大砍iPhone销量预估后,法人圈再传苹果忧心疫情持续扩散造成经济活动减弱,也对最夯的AirPods砍单25%,牵动台积电、英业达、新日兴等供应链后续出货。
AirPods
台积电
2020-03-12 08:56
1719
台积电的下一个战场
半导体行业观察:在晶圆代工领域称霸全球的台积电,罕见地在二月二十日发布新闻稿,宣布与国际功率半导体IDM大厂意法半导体携手合作开发氮化镓(Gallium Nitride;简称GaN)制程技术。
台积电
GaN
2020-03-13 09:06
2765
华为高通苹果抢产能,台积电5nm订单爆满
半导体行业观察:市调机构及市场法人近期预期新冠疫情恐延烧到下半年,不约而同下修今年5G智能手机销售预估,但晶圆代工龙头台积电先进制程接单依然强劲,其中,台积电5纳米制程将如期在第二季开始量产
台积电
高通
2020-03-16 08:50
1796
台积电将在美国建2nm芯片工厂?官方回应!
半导体行业观察:台积电(Taiwan Semiconductor Manufacturing Co.)正在加紧对是否应在美国建立先进芯片工厂进行评估,以应对华盛顿的压力。因为华盛顿方面希望全球最大的合同芯片制造商出于安全考虑在美国本土生产。
美国
台积电
2020-03-17 09:12
2199
台积电新研究,让1nm芯片成为可能
半导体行业观察:台积电和交大联手,开发出全球最薄、厚度只有0.7纳米的超薄二维半导体材料绝缘体,可望借此进一步开发出2纳米甚至1纳米的电晶体通道,论文本月成功登上国际顶尖期刊自然期刊(nature)。
台积电
芯片
2020-03-18 09:04
2830
揭秘台积电5nm CMOS技术平台
半导体行业观察:在去年年底于旧金山举行的国际电子设备会议(IEDM)上,台积电Geoffrey Yeap发表了“5nm CMOS生产技术平台”的演讲,该平台使用了成熟的EUV和高迁移率的沟道FINFET
5nm
台积电
2020-03-19 09:10
3241
全球十大晶圆代工厂排名,台积电遥遥领先
半导体行业观察:今天,拓墣产业研究院给出了2020年第一季度全球前十大晶圆代工厂的营收排名。
晶圆代工
台积电
2020-03-20 09:06
3770
台积电5nm的更多细节披露
半导体行业观察:在过去的十年中,台积电的运作节奏相当稳定。该公司于2019年3月开始生产其最新节点5纳米的风险产品。
台积电
5nm
2020-03-23 09:20
2739
台积电大事不妙,苹果5nm芯片延期 量产
半导体行业观察:真的不妙了!新冠疫情全球蔓延,影响手机生产链,也拖缓5G布建进度,业界传出,苹果5纳米A14应用处理器量产时程将向后递延一至二个季度,iPhone 12也将延后推出。
苹果
台积电
2020-03-25 09:12
2252
台积电3nm延期,龙头争夺战再起变数
半导体行业观察:据中国台湾联合报报道,新冠疫情搅局,台积电三纳米试产线装设被迫延后,原订六月装机时程将延至十月,南科十八厂试产线恐怕也被迫延后至少一季;
台积电
3nm
2020-03-30 09:29
2577
不延迟,台积电即将量产5nm芯片
半导体行业观察:之前,因为疫情影响,有媒体表示台积电的5nm进度将生变。但据Digitimes最新的一份报道显示,台积电仍在苹果的下一代旗舰智能手机,预计将在秋季发布。
台积电
5nm
2020-04-01 09:13
2047
[原创] 3nm成为下一个关键战场
半导体行业观察:2017年三星将代工业务独立了出来,此后,三星曾多次强调要挑战代工领头羊台积电的地位。但根据相关调研机构所统计的2019年第四季度两者的营收来看,台积电仍遥遥领先于第二名的三星。
三星
台积电
2020-04-03 09:19
原创
5694
美中“华为之争”不会因疫情而终结?台积电躺枪!
半导体行业观察:据路透引述多名消息人士报导,川普政府准备收紧规定,避免中国大陆获取美国的商用先进技术后,转为军事用途。
华为
台积电
2020-04-03 09:19
2519
扇出型封装的新潮流
半导体行业观察:2018年,台积电、三星电子和Powertech Technology Inc. (PTI)在扇出型封装领域的投资占了整个行业资本支出的75%
扇出型封装
台积电
2020-04-04 08:59
3081
台积电为扩产3nm,再发行巨额债券
半导体行业观察:晶圆代工龙头台积电董事会2月已核准在中国台湾市场募集无担保普通公司债,以支应产能扩充与污染防治相关支出的资金需求,资金额度不超过新台币600亿元(约美金20亿元) 。
台积电
3nm
2020-04-07 09:07
4255
台积电先进封装技术再升级
半导体行业观察:晶圆代工龙头台积电持续扩大整合型扇出晶圆级封装(InFO WLP)应用,继去年完成整合型扇出暨基板(InFO_oS)、整合型扇出暨记忆体及基板(InFO_MS)等先进封装技术认证及进入量产阶段
台积电
InFO
2020-04-13 07:59
2613
台媒:台积电3nm延期半年,5nm产能全开
半导体行业观察:据中国台湾联合报报告,受疫情影响,台积电先进制程试产时间,台积电已正式通知供应链,原订竹科十二厂六月装设三纳米试产线延至十二月,比原进度延后二个季度
台积电
3nm
2020-04-13 07:59
1836
[原创] 台积电效应
半导体行业观察:昨天,台湾地区媒体传出消息,台积电遭华为海思砍5nm制程投片量,不过,台积电不愁买家,相关产能缺口很快就被苹果全部吃下了。
台积电
5nm制程
2020-04-14 08:39
原创
2631
韩媒:三星5nm量产进程被延后
半导体行业观察:由于新冠肺炎疫情,这家荷兰厂商很难出口其设备,对三星电子和台积电等全球半导体大厂造成负面影响。有些专家说,相较于台积电,三星电子所受的伤害将更大。
台积电
三星电子
2020-04-14 08:39
4053
威盛发布全球首款X86架构AI处理器
半导体行业观察:IC设计厂威盛旗下子公司Centaur日前正式对外推出人工智能(AI)处理器,并预计将采用台积电16纳米制程投片量产。法人圈传出,该款AI处理器可望在2020年下半年开始投片量产,威盛AI相关产品线出货有机会同步畅旺。
AI
台积电
2020-04-15 08:54
2264
台积电:正在积极评估在美国建厂计划
半导体行业观察:台积电于4月16日公布2020年第一季度业绩。其一季度营收、净利润均超市场预期。台积电公告显示,其本季度营收为3106亿新台币,同比增长42%,环比下滑2.1%。
台积电
美国
2020-04-17 08:41
2557
[原创] 这才是台积电的真正实力
半导体行业观察:台积电在半导体行业的地位毋庸置疑。但他们究竟有多强大,大部分读者了解得可能非常片面。让我们从他们最新公布的2019年财报里,一窥台积电的真正实力。
台积电
FinFET
2020-04-22 09:17
原创
2004
CIS产能供不应求,台积电封测厂扩产
半导体行业观察:晶圆代工龙头台积电转投资子公司采钰科技23日在龙潭科学园区举行新厂动土典礼,典礼仪式由竹科管理局局长王永壮与采钰科技董事长关欣共同主持。
台积电
CIS
2020-04-24 08:58
3129
台积电开始研发2nm技术
半导体行业观察:4月24日消息,据台湾媒体报道,台积电近日上传了2019年年报,并在年报中首度提及2nm技术。在2018年年报中,台积电的表述为,公司3nm技术已进入全面开发阶段,而3nm以下的技术已开始定义并密集进行先期开发。
台积电
2nm
2020-04-25 10:28
2972
从8%到14%,华为对台积电营收贡献暴增
半导体行业观察:华为去年贡献台积电新台币1528.76亿元业绩,年增逾8成,占营收比重14%,为台积电第2大客户。法人认为,美国若对华为加强管制出口,将是台积电未来的隐忧。
台积电
华为
2020-04-26 09:17
2630
台积电封装技术再升级
半导体行业观察:晶圆代工龙头台积电针对先进封装打造的晶圆级系统整合技术(WLSI)平台,透过导线互连间距密度和系统尺寸上持续升级,发展出创新的晶圆级封装技术系统整合芯片(TSMC- SoIC)
台积电
封装技术
2020-04-27 09:17
1740
Intel制造工艺反超TSMC了?
半导体行业观察:最近Seeking Alpha发表了一篇文章“台积电失去了对英特尔的工艺领导地位”,SemiWiki创始人Dan Nenni要求我(代表本文作者Scotten Jones)看一下这篇文章并做自己的分析。
Intel
台积电
2020-04-30 08:41
5120
台积电的先进封装技术
为了满足市场对新型多芯片IC封装解决方案的需求,台积电与OIP合作伙伴合作开发了先进的IC封装技术,以实现摩尔定律以外的集成。
台积电
IC
2020-05-02 12:12
3009
苹果不玩了,台积电7nm大客户换人
半导体行业观察:台积电5nm制程将在今年下半年量产,预估可贡献10%营收,随着最大客户苹果转向5nm,美商超威(AMD)将挤下高通和华为海思,成为台积电7nm第一大客户。
台积电
7nm
2020-05-03 12:21
3009
美国半导体设备禁运的一石三鸟
半导体行业观察:当我们谈论台积电陷于中美之间,并在禁运和贸易摩擦的交火中被“附带损害”时,我们发现这次美国这次的“出招”其实在瞄准台积电,中国和华为,并尝试使用一块“禁运”石块杀死了三只鸟。
美国
台积电
2020-05-04 09:38
3952
台积电新一代接班人浮出水面
半导体行业观察:台积电启动中生代接班布局,业界视为下个梯队接班人选的二名资深副总经理秦永沛和王建光,本月起调整职掌内容。
台积电
3纳米
2020-05-04 09:38
3175
台积电凭啥让三星遥不可及?
半导体行业观察:摊开台积电2019年的整体表现,不仅股价在双首长刘德音、魏哲家带领下,于创办人张忠谋退休后的513天,站上300元大关,公司市值更一度突破8兆新台币,超越迪士尼等不少全球知名大企业。
台积电
三星
2020-05-06 08:59
1606
台积电首席科学家黄汉森:每条路都很重要
半导体行业观察:“用突破摩尔定律(Moore\x26#39;s Law)很像是冲破了些什么!我倒认为技术的发展比较像是隧道、一条道路(Path)的感觉。”台积电首席科学家黄汉森打趣地说。
黄汉森
台积电
2020-05-08 09:08
2130
苹果依然是台积电最大客户,华为急起直追
半导体行业观察:虽然华为被美国列入贸易管制黑名单,反而让华为加速打造自给自足的芯片供应链,华为透过旗下海思半导体打造十数款先进制程芯片,大量交由晶圆代工龙头台积电代工
华为
台积电
2020-05-11 09:02
2002
被张忠谋说中,台积电为何成了地缘政治家必争之地?
半导体行业观察:据《华尔街日报》报导,川普政府正与美国最大的芯片制造商英特尔公司及台积电就在美国建厂进行谈判。台积电表示,还在评估阶段,尚无具体计划。
台积电
美国
2020-05-13 09:27
3134
彭博社:台积电美国建厂计划或引起多方竞争
半导体行业观察:在威斯康星州击败所有竞争对手,吸引台湾制造商富士康科技集团到该地建厂三年之后,当地领导人和选民都对这个决定有所后悔。
台积电
美国
2020-05-14 08:58
3379
[原创] 台积电宣布赴美建厂,美国能重塑晶圆代工格局吗?
半导体行业观察:近日,美国欲在本国建晶圆厂的消息频出,起先是有知情人士传出,特朗普政府官员正在与台积电(TSMC)进行谈判 ,以在美国建厂。
美国
台积电
2020-05-15 09:22
原创
3164
美国官方:不保证台积电可以跟华为合作
日前,台积电宣布了一项在亚利桑那州的120亿美元投资计划,但美国官方表示,台积电尚未获得任何保证,或者许可,授权使用美国技术与华为合作。
台积电
美国
2020-05-16 10:08
3010
台积电赴美设厂,分析师:一坏两好
半导体行业观察:据分析师分析此举「一坏两好」,一坏是生产成本增加,两好是可望有土地、税制、水电优惠等,以及避开美中两强交锋的战火。
台积电
水电
2020-05-17 10:42
2788
华为紧急下订单?台积电回应!
半导体行业观察:台积电赴美设厂,似乎仍未取得美国给予继续出货给华为的特权。消息人士指出,华为集团赶在美国决定升级对对华为的出口管制前,紧急向台积电追加高达七亿美元(约新台币210亿元)大单
华为
台积电
2020-05-18 09:20
1631
[原创] 台积电美国新晶圆厂投资成本分析
半导体行业观察:5月15 日,台积电宣布了在美国联邦政府和亚利桑那州的相互理解和承诺支持下,打算在美国建造和运营先进的半导体晶圆厂的计划。
晶圆厂
台积电
2020-05-20 09:17
原创
2903
摩根大通:台积电拿下苹果5nm处理器的全部订单
半导体行业观察:外资摩根大通调查报告指出,台积电确定取得苹果下半年将推出的四款5G iPhone新机处理器代工订单,再度技压三星,独吃苹果重量级旗舰机处理器订单。
苹果
台积电
2020-05-21 08:42
2825
挑战台积电,三星又跨出重要一步
半导体行业观察:台积电(TSMC)是世界上最大的代工厂。该公司生产了苹果,华为(至9月14日),高通和其他公司设计的先进芯片。那么,您能说出全球第二大晶圆代工厂吗?如果您说英特尔,回答是不正确,因为该公司只为自己生产芯片。
台积电
芯片
2020-05-22 09:12
3234
台积电缘何成为暴风眼?
半导体行业观察:自1987年成立以来,台积电(TSMC)一直致力于成为服务于全球客户的全球性企业,而不仅仅是专注于服务一个地区。
台积电
暴风
2020-05-25 08:49
2670
一文看懂台积电的研发实力
半导体行业观察:在一个月的文章《这才是台积电的真正实力》中,我们对台积电公司的实力做了一个概述。今天,我们从台积电去年底的研发投入和成果,看清这家晶圆代工巨头的真正技术实力。
台积电
晶圆代工
2020-05-26 10:55
3501
分析师:受华为禁运影响,台积电将下调资本支出
半导体行业观察:里昂证券分析师指出,因华为新禁令影响,台积电部分客户订单下修,导致对全年营收保守看待,2020年资本支出将比公司先前预期下修13%、亦较前年度减少9%。
台积电
华为
2020-05-29 09:33
2344
台积电3nm延期
半导体行业观察:因应美国扩大封锁华为,台积电通知设备协力厂,决定延后5 纳米扩建及3纳米试产脚步至明年首季,较原订时程延长两季,已有多家台积电设备供应商收到通知暂停设备交货。
台积电
3nm
2020-06-01 09:14
3257
台积电3nm继续使用FinFET技术
半导体行业观察:台积电终于在今年第一季的法人说明会里,透露了其3纳米将采取的技术架构,而出乎大家意料的,他们将继续采取目前的「FinFET」晶体管技术。
FinFET
台积电
2020-06-05 08:53
3400
万一失去华为,台积电龙头还稳吗?
中美角力不断,瞄准5G战场,台湾积体电路制造(台积电、TSMC)的角色尤其关键。
台积电
华为
2020-06-07 11:31
2526
四大客户加单,台积电产能满载
半导体行业观察:美国政府限制华为海思采用美国软体或半导体设备生产芯片,且至今尚未发出生产许可,所以台积电在新禁令公告后已无法新接华为海思订单。
台积电
华为海思
2020-06-08 08:55
1927
日本半导体暴露新“野心”
半导体行业观察:就在台积电传出要去美国设厂的讯息时,《钻石Online》根据经济产业省的消息来源独家报导,日本政府也对台积电和美国英特尔招手。
日本
台积电
2020-06-08 08:55
1886
[原创] 台积电的艰难一役
半导体行业观察:对华为来说,台积电是主要的晶圆代工厂之一,但是,位于中美贸易战夹缝中的台积电却选择了赴美建厂,台积电似乎选择了一条“亲美路线”。
台积电
华为
2020-06-12 09:09
原创
4091
NXP加入台积电5nm产能争夺战
近日,恩智浦半导体(NXP)和台积电宣布合作协议,恩智浦新一代高效能汽车平台将采用台积公司5 nm制程(N5P)。
台积电
NXP
2020-06-13 09:50
2947
台积电组联盟赴美国设厂
半导体行业观察:台积电拟于美国亚利桑那州设5奈米晶圆厂,随其赴美的「化学品联军」成形。
台积电
美国
2020-06-15 09:02
2236
台积电的产能大考验
半导体行业观察:TSMC的Mark Liu先生在2020年6月9日(中国台湾时间)召开的新闻发布会(Press Event)上表示:“对于我们之前发布的2020年的业绩预想,目前没有变化。
台积电
中国
2020-06-18 09:24
2226
台积电5nm产能爆满
半导体行业观察:台积电5纳米接单再传捷报,高通最先进的「骁龙875」系列手机芯片,以及内部命名为「X60」的5G基带芯片,上周正式在台积电以5纳米投片。
台积电
5nm
2020-06-22 09:02
3449
台积电将进一步拉大与三星的差距
半导体行业观察:因为美国政府的从中阻挠,台积电跟华为的合作前景局势依然不明朗。但在分析人士看来,台积电依然能够持续成长,并将进一步拉大与三星的差距。
台积电
三星
2020-06-23 08:42
2439
怒砸209亿元,台积电去年的研发成果如何?
半导体行业观察:台积电去年达成的主要成就,包括晶圆出货量达1,010万片12吋约当晶圆,16纳米及以下更先进制程的销售金额占整体晶圆销售金额的50%,高于前年的41%。
台积电
晶圆
2020-06-26 09:56
2733
三星五年内能追上台积电?
半导体行业观察:”如果你问我,三星(Samsung)有没有可能在五年内赶上台积电?我会直接回答:‘不可能。
三星
台积电
2020-07-02 08:38
1926
台积电继续募资扩产,晶圆代工厂军备竞赛打响
半导体行业观察:晶圆代工厂台积电不断投资启动新制程与产线,昨(3)日公告将发行今年度第4期无担保普通公司债,总金额新台币139亿元,筹得资金将用以新建扩建厂房设备。
台积电
晶圆代工
2020-07-04 09:30
3959
张忠谋的先见之明,让三星难以追赶台积电
半导体行业观察:台积电是合同芯片制造的重量级冠军。该公司控制着全球一半的业务,他们现在正面临着韩国竞争对手三星电子的严峻挑战。
台积电
三星
2020-07-06 09:05
2586
用整个硅片做芯片?台积电说可商业化!
半导体行业观察:据Digitiimes报道,尽管对极其昂贵的、类似Cerebras Systems开发的Wafer Scale Engine(WSE)那样的超级计算机AI芯片的需求仍然很有限,但台积电依然计划在两年内投入类似芯片的商业生。
芯片
台积电
2020-07-07 08:52
2787
遭受网络攻击,X-FAB暂时关闭旗下所有晶圆厂
半导体行业观察:今日,业界领先的晶圆厂X-FAB宣布,因为受到网络攻击,在业界领先安全专家的建议下,公司所有IT系统均已立即停止。
晶圆厂
台积电
2020-07-08 08:57
4130
股价创新高,台积电后十年无对手?
台积电的强势表现,为今年台股在疫情打击下,扮演救世主角色,市场也都关注其后续表现。
台积电
台股
2020-07-11 11:07
3767
台媒:台积电2nm大突破
半导体行业观察:台积电冲刺先进制程,在2纳米研发有重大突破,已成功找到路径,将切入GAA(环绕闸极)技术,为台积电发展鳍式场效电晶体(FinFET)取得全球绝对领先地位之后,迈向另一全新的技术节点。
台积电
2nm
2020-07-13 08:43
3522
先进封装,台积电绑定大客户的利器
半导体行业观察:台积电冲刺先进制程之余,同步加大先进封装投资力度,并扶植弘塑、精测、万润及旺矽等本土设备/材料商,建构完整生态系,成为绑住苹果等大客户订单的重要利器。
台积电
苹果
2020-07-14 09:09
1722
台积电:预计两个月后不向华为供货
半导体行业观察:7月16日下午两点,台积电举行了2020年第二季法人说明会,从营收角度来看,截至2020年6月30日的第二季度,公司综合营收3107亿新台币
台积电
华为
2020-07-17 09:17
1939
[原创] 台积电登顶背后的三大关键
半导体行业观察:过去十几年间,台积电的耀眼光芒,让半导体业界其他企业的进步,都显得黯然失色。而在疫情肆虐和中美摩擦日益严峻的2020,台积电更是捷报频频。
台积电
28nm
2020-07-18 09:18
原创
2663
日本有意布局先进工艺代工
彭博社援引Yomiuri newspaper报道显示,日本政府正在考虑与一家本地制造商或研究机构与台积电建立联盟,以促进日本与其他合作伙伴共同发展其芯片产业 。
日本
台积电
2020-07-19 12:15
2987
台积电2纳米为何能一马当先?
近日,有消息称,台积电在2纳米先进制程研发上取得重大突破,已成功找到路径,将切入环绕式栅极技术(gate-all-around,简称GAA)技术。
台积电
GAA
2020-07-19 12:15
3505
台积电将赴日建厂?难于登天!
半导体行业观察:日本政府基于国安考量,计划邀请海外半导体业者与日本国内业者合作,共同打造「半导体国家队」。日本读卖新闻19日报导,日本计划邀请全球晶圆代工龙头台积电赴日投资建厂,以提振日本国内落后的芯片产业。
日本
台积电
2020-07-20 09:15
2543
为什么说台积电将走向艰难时期
半导体行业观察:台积电早前发布第二季度的营收报告。这些数字超出了预期,与此同时,该公司还提高了对2020年的展望。然而,尽管数字很好并且该报告在很大程度上还发布了很多好消息,但我们有理由相信台积电可能会走向低迷。接下来将解释原因。
台积电
华为
2020-07-22 08:46
2621
台媒:联电拿下大订单
半导体行业观察:晶圆代工厂接单夯,不仅台积电当红,本土二哥联电状况也优于预期。供应链传出,受惠于疫情带来的宅经济动能持续,笔电、平板需求稳健
联电
台积电
2020-07-23 09:04
2211
英特尔市值暴跌16%,竞争对手AMD以及台积电大涨
英特尔
台积电
2020-07-25 10:55
3353
苹果包下台积电5nm过半产能?
为了保证自己的使用需要,据产业链消息人士透露情况看,苹果已经包下了台积电5nm工艺2/3的产能
5nm
台积电
2020-07-26 10:16
3249
[原创] 日本半导体“失去”的33年
半导体行业观察:1987年台积电成立,自此半导体界发生了翻天覆地的变化,代工厂的建立使得芯片设计厂商遍地开花,快速崛起。
日本
台积电
2020-07-27 09:44
原创
2132
台媒:Intel或成为台积电产能的“搅局者”
半导体行业观察:电脑处理器大厂英特尔及超微将在明年上演台积电7纳米世代制程产能争夺战。英特尔因为7纳米制程良率表现比内部目标落后了约12个月
台积电
英特尔
2020-07-27 09:44
5950
[原创] Foundry欲将IDM挤下神坛?
半导体行业观察:英特尔将6nm制程芯片订单交给了台积电,这一消息很快在业内,同时也在半导体资本市场发酵,产生了一系列反应,而在这些反应中,也出现了两种极端状况。
IDM
台积电
2020-07-28 09:17
原创
2894
台积电跃居全球最值钱半导体企业的底气
半导体行业观察:半导体老店英特尔(Intel)押后推出自家7纳米芯片,更表示可能要一改自己制造芯片的传统,交由第三方芯片代工厂生产,消息震撼业界,「体型庞大」的台积电股价周一(27日)劲升近1成,再创纪录新高,并推动台股又创新高。
台积电
芯片
2020-07-29 09:02
1982
台积电:从不被看好到逆袭
半导体行业观察:台积电股价连续几天飙高,28日更一度冲上466.5元新天价,最大「助攻」就是美国半导体霸主英特尔上周透露7纳米制程卡关,且执行长史旺(Bob Swan)首次松口提到,公司考虑将部分产品委外生产。
台积电
英特尔
2020-07-31 09:34
4457
台积电的两大变数
半导体行业观察:市值一度冲上12 兆元、近日带领台股创下新高点的台积电,在三星、英特尔挑战制程都惨败之后,被认为在10 年内技术上无对手。那么,还有谁能影响它营收上攻?本周带你看清楚冲击台积电的两大变数。
台积电
台股
2020-08-03 09:26
2449
[原创] 台积电大客户之争
半导体行业观察:目前,晶圆代工业热得发烫,原因有二:一是全球几大晶圆代工厂商的产能利用率普遍很高,而且近几个季度的营收状况非常好
晶圆代工
台积电
2020-08-04 08:55
原创
2539
日经:台积电有意收购Arm
半导体行业观察:据日经引用知情人士消息说,包括台积电和富士康在内的苹果主要供应商对对英国芯片设计师Arm Ltd.的可能投资表现出了兴趣。
Arm
台积电
2020-08-06 08:38
3289
中芯国际净利润创历史新高
半导体行业观察:8月6日,中芯国际发布了2020年第二季度财报。财报中显示,本季度中芯国际的销售额为 9.385 亿美元,相较于 2020 年第一季的 9.049 亿美元增加 3.7%
中芯国际
台积电
2020-08-07 09:01
4213
除了晶圆厂,台积电旗下其实还有4座先进封测厂
据国外媒体报道,为苹果等公司代工芯片的台积电,近几年在芯片制程工艺方面走在行业的前列,7nm和5nm工艺都是率先量产,更先进的3nm工艺也在按计划推进,先进的工艺也为他们带来了大量的订单。
台积电
芯片
2020-08-08 10:52
4504
台积电5nm产能被八客户疯抢
半导体行业观察:华为证实,台积电9月中后不再出货华为、海思半导体,以赛亚研究(Isaiah Research)指出,台积电依靠苹果、高通、超微(AMD)、Nvidia、联发科、英特尔、比特大陆、Altera等大客户
台积电
华为
2020-08-10 09:07
3092
台积电能在中美之间左右逢源吗?
半导体行业观察:今年五月,世界上最大的芯片制造商台积电失去了华为的业务。资料显示,其最大的中国客户贡献了公司13%的收入来源,这也是超级大国之间地缘政治争夺的牺牲品的业务。
台积电
华为
2020-08-11 08:50
2389
[原创] 台积电布局新存储技术
半导体行业观察:近年来,在人工智能(AI)、5G等推动下,以MRAM(磁阻式随机存取存储器)、铁电随机存取存储器 (FRAM)、相变随机存取存储器(PRAM),以及可变电阻式随机存取存储器(RRAM)为代表的新兴存储技术逐渐成为市场热点。
台积电
MRAM
2020-08-13 09:28
原创
1854
台积电又拿下两大厂商订单?
半导体行业观察:晶圆代工龙头台积电再传接单捷报。业界传出,全球IC设计龙头博通(Broadcom)与电动车大厂特斯拉(Tesla)共同开发的新款高效能运算(HPC)芯片,将以台积电7纳米先进制程投片
台积电
HPC
2020-08-17 09:13
2638
台积电一个Fab装备18台EUV光刻机,ASML设立EUV培训中心助力
半导体行业观察:晶圆代工龙头台积电下半年全力冲刺5纳米量产,明年还展开3纳米生产线建置,台积电已成为全球拥有最大极紫外光(EUV)产能的半导体大厂,台湾因此成为全球EUV曝光机最大市场。
EUV
台积电
2020-08-21 09:02
2819
台积电生产了超过10亿颗完整的7nm芯片
半导体行业观察:台积电每个7纳米芯片都集成了至少10亿个晶体管。这意味着从晶体管的角度来看,每个芯片的累积规模超过数百亿。截至目前,台积电的7纳米制程是最快的一代,产能增长最快。
台积电
芯片
2020-08-23 10:43
2860
台积电详细介绍3nm技术,依然用FinFET
半导体行业观察:据anandtech报道,在台积电的年度技术研讨会上,他们详细介绍了其未来的3nm工艺节点的特性,并以N5P和N4工艺节点的形式为5nm后续产品制定了路线图。
台积电
3nm
2020-08-25 08:47
3124
[原创] 一文看懂台积电的技术布局
半导体行业观察:台积电是全球领先的晶圆代工厂,这是一个不争的事实。
台积电
晶圆代工
2020-08-26 09:01
原创
5437
台积电的GAA-FET什么时候到来?
半导体行业观察:在日前举办的技术大会,台积电方面表示,公司的3nm将继续沿用之前的FinFET。那么大家的关注点就变成了,台积电的第一代GAA-FET什么时候将到来?
台积电
GAA
2020-08-27 09:22
3225
台积电买下市场上50%的EUV光刻机,贡献了60%的产能
半导体行业观察:在本周召开的台积电技术研讨会上,最重要的中心信息之一是,该公司在半导体制造领域处于世界领先地位,特别是在领先的工艺技术领域。
台积电
EUV
2020-08-28 09:36
5717
苹果自研GPU曝光,使用台积电5nm
半导体行业观察:苹果日前宣布自行研发设计可应用在Macbook笔电及iMac桌机的Arm架构Apple Silicon处理器,业界预期首款A14X处理器最快今年第四季就会采用台积电5纳米制程量产投片。
苹果
台积电
2020-08-31 08:53
2110
台积电称霸晶圆代工市场的两大武器
半导体行业观察:晶圆代工龙头台积电全球市占率约55%,市值排名全球第十大。根据高科技产业研究机构TrendForce 最新预估,2020 年第三季全球晶圆代工业者营收将成长14%,其中,台积电第三季营收年成长高达21%
台积电
晶圆代工
2020-09-01 09:22
2778
[原创] 台积电先进封装深度解读
半导体行业观察:中介层、EMIB、Foveros、die对die的堆叠、ODI、AIB和TSV。
台积电
EMIB
2020-09-03 09:25
原创
2865
ICinsights:台积电今年营收将增长24%,5nm贡献35亿美元
半导体行业观察:知名分析机构ICinsights指出,由于Covid-19的大流行和中美贸易关系紧张,大多数IC供应商在今年上半年的需求疲软,销售业绩普遍不佳。
ICinsights
台积电
2020-09-04 09:03
2719
三星正在缩小与台积电的差距
半导体行业观察:三星与台积电之间的鸿沟正在缩小,三星越来越多地从IBM和Nvidia等巨头那里获得芯片交易。8月,IBM宣布其POWER 10 CPU将由三星制造。几天前,Nvidia在其基于Ampere的RTX 3xxx系列GPU的揭示
三星
台积电
2020-09-05 09:18
3225
美机构:台积电是全世界最重要的企业
台积电
中国
2020-09-06 12:05
7844
联电:不走寻常路
台积电制程技术领先,今年5nm与7nm可望贡献近新台币5000亿元业绩,先进制程独霸晶圆代工业。联电则在面板驱动IC领域有不错表现,位居世界第一。
联电
台积电
2020-09-06 12:05
7932
台积电正在创造半导体新奇迹
半导体行业观察:离南科不远,台糖看西农场里还有一片绿油油的蔗田,面积92 公顷,不久之后,这里将从「种甘蔗」变成「种晶圆」。
台积电
南科
2020-09-07 09:34
2789
台积电和MTK八月营收创历史记录
半导体行业观察:据工商时报报道,晶圆代工龙头台积电10日公告8月合并营收1,228.78亿元,创下单月营收历史新高,主要受惠于7纳米及5纳米产能利用率维持满载并出货畅旺。
台积电
晶圆代工
2020-09-11 09:42
2209
台积电凭什么?
半导体行业观察:无法和华为做生意,对台积电有什么影响?
台积电
华为
2020-09-12 09:33
3664
韩媒:台积电拉大与三星差距
半导体行业观察:据韩媒 BusinessKorea 报道,全球最大芯片代工厂台积电已扩大与南韩三星电子的差距,而三星的 “半导体愿景 2030 计画”能否顺利实现,仍待观察。
台积电
三星
2020-09-14 09:19
2764
[原创] 台积电7nm再成焦点
半导体行业观察:本周,关于索尼游戏机PS 5因为台积电产能问题导致生产不顺,引发市场高度关注,摩根大通特别对此发布分析,认为主要挑战是台积电7nm产线满载导致PS 5难产。
台积电
7nm
2020-09-17 09:10
原创
2997
台积电5nm晶圆预估价曝光:接近17000美元
半导体行业观察:毫不奇怪,每一种新的制造技术的出现,都会让晶圆变得越来越昂贵,因为节点往往需要更多的资金。
晶圆
台积电
2020-09-18 09:34
3253
台积电再投资,考虑设新厂
台积电总裁魏哲家近日表示,疫情对全世界造成很大影响,但「有改变就会有机会」,台积电透过「专注创新」来抓住机会,持续创新先进技术及卓越制造。他并强调,台积电将持续投资台湾、深耕台湾,不排除在高雄投资设厂。
台积电
台湾
2020-09-19 10:01
3143
第三代半导体兴起,台厂在台积电领头下积极卡位
随着5G、电动车等新应用兴起,氮化镓(GaN)、碳化硅(SiC)等第三代半导体后市看好,台厂在晶圆代工龙头台积电领头下积极卡位,包括世界先进、汉磊、嘉晶、茂矽等也抢进未来每年高达10亿美元的新世代半导体材料应用商机。
第三代半导体
台积电
2020-09-20 10:05
3270
台媒:台积电2nm制程获重大突破
半导体行业观察:据台媒经济日报透露,台积电2纳米制程研发获重大突破。供应链透露,有别于3纳米与5纳米采用鳍式场效电晶体(FinFET)架构,台积电2纳米改采全新的多桥通道场效电晶体(MBCFET)架构,研发进度超前
台积电
2nm
2020-09-21 08:57
2995
[原创] 12吋和8吋晶圆代工产能再次告急
半导体行业观察:近些天,以台积电为代表的7nm和5nm制程晶圆代工芯片产能同时成为了业界关注的焦点(这些先进制程大都采用12吋晶圆),原因在于:不仅刚刚实现大规模量产的5nm产能供不应求
晶圆代工
台积电
2020-09-22 09:26
原创
2117
台积电先进封装抢地盘,封测厂危机感倍增?
半导体行业观察:「后摩尔时代」来临,随着晶体管通道尺寸逼近物理极限,只追逐线宽缩小,已无法满足新技术所需的标准,先进封装技术因此被视为新的突破口。
封测
台积电
2020-09-22 09:26
38388
尝到甜头,AMD将更多订单转向台积电
半导体行业观察:在过去两年了,得益于自己的设计优势还有台积电先进制程的加持,AMD在处理器的地位日益攀升,并逐渐有了叫板Intel的底气。
AMD
台积电
2020-09-23 08:42
2803
台积电刘德音:半导体不再自由,创新是未来发展关键
半导体行业观察:台积电董事长刘德音表示,美中贸易战的问题加上地缘政治的情势,使得都想要在半导体产业发展的美中两国,目前都在积极拉拢厂商来建立供应链。
刘德音
台积电
2020-09-24 09:27
3059
台积电考虑再建一个2nm工厂?两座封测厂也在规划!
半导体行业观察:台积电到了披露其N2(2 nm)制造工艺细节的时候,显然,全球最大的半导体合同制造商对这一节点的需求充满信心,以至于它已经在考虑建造一个额外的晶圆厂来满足该要求。
台积电
2nm
2020-09-27 09:39
2976
遥遥领先,台积电将拥有超过50台EUV光刻机
半导体行业观察:据台媒Digitimes报道,台积电将扩大采购EUV 设备,明年机台就将超过50 之数,估计可达55 台,非常可观。
EUV
台积电
2020-09-29 09:32
5164
台积电:成长中的领导者
半导体行业观察:台积电是半导体制造领域无可争议的行业领导者。他们在批量生产5纳米芯片方面处于领先地位,并且正在大力投资以率先拥有3纳米芯片。
台积电
芯片
2020-10-03 09:51
4493
[原创] 中国大陆晶圆厂的“新挑战”
半导体行业观察:最近几年,台积电在晶圆厂代工领域发展的如火如荼,国内晶圆厂在这方面与台积电的差距越来越大。
晶圆厂
台积电
2020-10-04 11:54
原创
3296
台积电5nm产能满载,公司再度上调资本支出
苹果新iPhone 10月中旬即将问世,积极下单台积电,储备新机搭载的最新A14处理器。供应链传出,台积电5奈米产能中,已有三分之二、总量约18万片被苹果包下,本季单月营收创新高可期。
台积电
苹果
2020-10-05 11:55
2704
台积电营收创历史新高,联电也不甘人后
半导体行业观察:据钜亨网报道,晶圆代工龙头台积电昨日公布9月营收1275.85亿元(单位新台币,以下同),在赶工出货华为带动下,加上苹果、超微等大厂卡位抢产能,营收月增3.8%
台积电
联电
2020-10-09 09:29
2320
台积电法说会展望,成长的契机在哪里?
来源:本文转载自钜亨网。晶圆代工龙头台积电将于周四(15日)召开法说,除第四季与明年营运与产业展望外,市场将
台积电
晶圆代工
2020-10-11 12:56
4377
3nm加速,台积电明年资本支出直逼190亿美元
半导体行业观察:据台媒工商时报报道,新冠肺炎疫情引爆远距商机,美中贸易战带动转单效应,8吋及12吋晶圆代工产能供不应求情况将延烧到明年全年。
台积电
3nm
2020-10-12 09:29
4313
外媒:英伟达抛弃三星,重投台积电怀抱
半导体行业观察:众所周知,英伟达在向前推出的RTX30系列采用了三星的8nm制程,但据台媒Digitimes报道,英伟达计划在明年转向台积电7nm。
英伟达
台积电
2020-10-14 09:05
2690
从台积电两个数据看国内芯片制造企业的机会
半导体行业观察:台积电每个季度都会发布营收数据,其中有两个数据分别是按工艺细分(by Technology)和按平台细分(by Platform)。
台积电
28nm
2020-10-15 09:22
5268
日挣3亿人民币,台积电火力全开
半导体行业观察:昨日,台积电举办了财报说明会。台积电指出,在截至到9月30日的第三季度财报,公司总营收为3564.3亿新台币,同比增长21.6%,环比增长14.7%。
台积电
第三季度财报
2020-10-16 09:33
5167
赴美设厂将落脚凤凰城,台积电:还未做出最终建厂决议
台积电全球政府事务副总经理Peter Cleveland在LinkedIn上的发文意外透露,台积电美国新厂将落脚亚利桑那州凤凰城,对此,台积电回应,赴美设厂计划积极准备中,但目前还未做出最终建厂决议。
台积电
亚利桑那州
2020-10-17 12:04
3236
七大客户力挺,台积电5nm订单井喷
半导体行业观察:台积电法说会后一如外资所料引发获利了结卖压,股价陷多空交战,外资锁定长线动能,看好5纳米制程贡献度将提升,2021年营收占比可望突破二成,成营运主流利多
台积电
5nm
2020-10-19 09:24
1916
台积电7nm大客户将易主
半导体行业观察:台积电无疑是股票市场的一个另类,数据显示,他们年初至今上涨了78%,而从该公司公的第三季度财报数据可以看到,该公司在Q3的净利润增长了36%,达到创纪录的1,373亿新台币(48亿美元)。
台积电
7nm
2020-10-21 09:33
6596
三星挑战台积电的“底气”
半导体行业观察:晶圆代工龙头台积电宣布5纳米先进制程,已于今年第二季进入量产时,另一头的三星也紧追在后。
三星
台积电
2020-10-22 09:32
2160
对近期晶圆代工市场的一些看法
半导体行业观察:台积电最新法说会中,不但释出2020年第四季合并营收季增率达2.14~4.61%的财测讯息,整体规模将再刷新历史纪录,且总计2020年全年台积电合并营收若以美元计算的成长力道将可望上冲30%
台积电
晶圆代工
2020-10-23 09:32
1517
苹果A15芯片制造计划曝光
半导体行业观察:苹果A14及A14X处理器已在台积电采用5nm制程量产,预期明年会推出新款桌面计算机A14T处理器及苹果自行开发绘图处理器(GPU),同样采用台积电5nm制程投片。
苹果
台积电
2020-10-26 09:13
2158
[原创] 台积电与三星的新战场
半导体行业观察:在半导体先进制程工艺方面,特别是行业进入14nm时代以后,玩家迅速减少,本来是英特尔、三星和台积电三足鼎立的局面,但由于在过去5年里,英特尔在工艺技术进度方面的不给力
三星
台积电
2020-10-27 09:07
原创
6918
台积电反超Intel的关键人物,复旦高材生入籍台湾
半导体行业观察:日前,中国台湾“内政部 ”公布归化高级专业人才审查会审查结果,美国籍的曹敏通过审查,归化成为台湾人,这受到各界瞩目。
台湾
台积电
2020-10-29 09:13
3345
台积电的美国建厂计划有了新进展
半导体行业观察:经过几个月的来回交涉,台积电终于宣布了在亚利桑那州建立晶圆厂的计划。但有趣的是这个公告不是在新闻界或最近的投资者电话中发布,而是在公司LinkedIn上发布。
台积电
美国
2020-11-02 09:19
7279
台积电创下三项历史记录
半导体行业观察:据报道,台积电10日董事会通过逾新台币4,300亿元资本预算,改写新高纪录;另外,亦核准将投资近新台币1千亿元,于美国亚利桑那州设立百分之百持股子公司。
台积电
亚利桑那州
2020-11-11 08:35
2674
[原创] 谈谈特种工艺半导体
半导体行业观察:因为摩尔定律的声名在外,加上过去这些年包括三星、台积电、Intel和英伟达等公司的推动,科技界甚至终端消费者都对28nm、10nm、7nm和5nm等先进工艺制程有了或多或少的了解。
摩尔定律
台积电
2020-11-12 09:22
原创
2494
台媒:台积电将涨薪,史上最大幅度
半导体行业观察:据台媒自有财经报道,晶圆代工龙头厂台积电明年起将展开有史以来最大幅度的结构性调薪,调薪幅度约达20%。
台积电
晶圆代工
2020-11-13 09:31
3184
台积电10月营收达1,193.03亿元,创下历年同期新高
台积电
5nm
2020-11-15 10:32
1950
台积电又买了13台EUV光刻机?
半导体行业观察:在今年早些时候,台积电表示,公司已在全球范围内部署并运行了大约50%的所有极紫外(EUV)光刻工具,这意味着该公司使用的EUV机器比业内任何其他公司都要多。
EUV
台积电
2020-11-16 09:05
4233
密谋五年,台积电扳倒英特尔的三大绝招
半导体行业观察:7月24日,一场远在美国的法说会,宣告台积电在高速运算时代的大机会正式到来。这一天,英特尔执行长史旺(Bob Swan)透露两个重要的讯息:「我们7纳米的处理器生产时程,会比原先预期的晚6个月。
台积电
英特尔
2020-11-18 09:23
3271
台积电三星竞逐先进封装,国内厂商有意入局?
半导体行业观察:据日经亚洲评论报道,台积电正在与Google和其他美国科技巨头合作,开发出一种使半导体功能更强大的新方法。
台积电
三星
2020-11-19 08:43
2730
三星对抗台积电的三大挑战
我认为,三星在3nm工艺上存在三个挑战……
三星
台积电
2020-11-21 12:38
2262
台积电或将代工苹果下一代ARM处理器
针对苹果下一代的Mac芯片,外媒预计会命名为M2或M1X,台积电在代工M1芯片上的先进制程工艺,也有望延伸到下一代的Mac处理器。
苹果
台积电
2020-11-22 11:59
3013
台积电难以逾越得五大优势
半导体行业观察:三星目标 2022 年以 3 奈米製程超越台积电,不过,台积电 现阶段仍具备先进制程技术与产能优势,并以先进封装稳固金字塔顶端客户需求,且与客户没有竞争关係也是最大优势之一;
台积电
三星
2020-11-23 08:56
3094
三大客户加单,台积电先进工艺满载
半导体行业观察:苹果首款5G智能手机iPhone 12及搭载M1处理器的新款MacBook Air/Pro销售拉出长红,索尼PS5及微软XBOX Series X/S等新款游戏机也卖到缺货
台积电
苹果
2020-11-24 09:24
3508
台积电3nm重大突破,预估产能每月60万片
半导体行业观察:晶圆代工龙头厂台积电昨低调举行南科晶圆18厂3纳米厂新建工程上梁典礼,预计明年装机并于年底试产,2022年下半年量产
台积电
3nm
2020-11-25 09:52
12384
遥遥领先,台积电做对了什么?
半导体行业观察:11 月24 日,台湾叱咤全球的半导体产业再进入一个新的里程碑!那就是晶圆代工龙头台积电位在南科的3 纳米厂正式举行上梁典礼。
台积电
台湾
2020-11-26 08:53
13654
三星放话超车台积电 3 纳米,成功机会渺茫?
半导体行业观察:整体来看,法人认为,从技术、良率、营运管理能力与客户关系等多层面来看,三星还看不到台积电的车尾灯,这次弯道超车大计恐难成。
台积电
三星
2020-11-28 12:35
12531
台积电工艺将从2024年开始落后?
半导体行业观察:台积电目前被广泛视为半导体技术的领导者。但是,这并不是通过做任何值得注意的事情来实现的:台积电是从英特尔继承了这一位置,因为后者花了五年时间才推出了其首款10nm产品,而摩尔定律则要求两年的节奏。
台积电
英特尔
2020-12-02 09:19
3150
Yole:台积电封装业务营收稳居全球第四
半导体行业观察:经过了这些年的厚积薄发,先进封装行业已进入最令人兴奋的阶段。
封装
台积电
2020-12-03 09:55
3321
3nm争夺战开打
半导体行业观察:全球晶圆制造龙头台积电市场表现一再创纪录,从今年初因疫情影响,股价最低跌至235.5元,直到11月17日一度触及506元,不只翻倍成长,市值更逼近新台币13兆元
3nm
台积电
2020-12-04 09:35
3158
AMD有望成为台积电第一大客户
2020年,台积电迅猛发展的关键之一就是得益于AMD的推动,虽然如此,但台积电强大影响力远超AMD,如今台积电已经是毫无疑问的工艺领导者,无论有没有AMD,台积电都会保持稳步增长,AMD促进了这种增长速度。
台积电
AMD
2020-12-06 12:29
2468
[原创] 三星“死磕”台积电
半导体行业观察:近几年,英伟达的GPU成为了市场明星,特别是推出的新品,非常抢手,被各应用市场客户争抢,特别是该公司于今年9月推出的GeForce RTX 30系列,行情非常紧俏
台积电
三星
2020-12-15 09:31
原创
2426
台积电资本支出暴增背后:重演28nm的辉煌?
半导体行业观察:在晶圆代工龙头台积电上个礼拜举办的法说会上,不仅预告今年首季将迎来史上最强淡季外,更预测全年营收可望成长约15%,连续12年改写新高。一连串令人振奋的消息,等于直接打脸空军先前盛传的砍单、上半年稼动率骤降等谣言。
台积电
28nm
2021-01-19 09:54
14580
[原创] 代工双雄如何走向3nm?
半导体行业观察:11月19日,联发科正式发布了其近些年来最高端的手机SoC芯片天玑9000,采用了台积电4nm制程工艺,这也是全球首款4nm芯片,有望在2022年第一季度量产。
台积电
3nm
2021-11-24 09:28
原创
2282
[原创] 台积电先进封装,芯片产业的未来?
半导体行业观察:近年来,关于台积电先进封装的报道越来越多,在这篇文章里,我们基于台积电Douglas Yu早前的一个题为《TSMC packaging technologies for chiplets and 3D》的演讲
台积电
芯片
2021-11-29 09:58
原创
3178
传三星有意收购半导体公司
半导体行业观察:三星追击台积电再出招,三星集团副会长李在鎔赴美行程结束返回南韩,近日传出三星集团有意收购台积电重要客户的讯息,由于在手现金逾千亿美元资金充沛,正锁定荷兰恩智浦、英飞凌等指标大厂投资入股或收购。
三星
台积电
2021-11-29 09:58
2924
台积电余振华:先进封装面临两大挑战,与日月光没竞争
半导体行业观察:台积电卓越科技院士暨研发副总余振华昨指出,台积电先进封装3D Fabric平台已率先进入新阶段的系统微缩,将能为半导体产业提供更多价值。
余振华
台积电
2021-12-01 09:07
2536
台积电刘德音:半导体将迎黄金十年
半导体行业观察:台积电董事长刘德音近日表示,新冠肺炎疫情让原本要10年完成的数字化转型在1年内达成,估计2020年疫情开始到2030年的这10年当中,全球半导体年产值有超过1兆美元的机会,并会再推动3~4兆美元的电子产品成长。
台积电
刘德音
2021-12-04 12:06
6835
[原创] 先进工艺“后备军”蓄势待发
半导体行业观察:半导体制程已经进入3nm时代,因为台积电即将在本月开始试产3nm芯片。据悉,台积电Fab 18B厂已完成3nm生产线建设,近期将进行3nm测试芯片的正式下线投片的初期先导生产,预计2022年第四季度进入量产阶段。
台积电
3nm
2021-12-06 08:56
原创
5953
张忠谋:大陆半导体制造落后台积电五年,三星是最强对手
半导体行业观察:台积电创办人张忠谋6日到司法院演讲,分享「珍惜台湾半导体晶圆制造的优势」,并接受提问,对于台湾要找下一座对全世界重要,又在台湾有高市场占有率的「护岛神山」,张忠谋直言「难」!
台积电
张忠谋
2021-12-07 09:18
3097
台积电:一年半之后不用“轮大夜”了
半导体行业观察:据台媒天下杂志报道,台积电资深副总经理秦永沛宣布,预期一年或一年半后,有「黑手」之称的设备工程师,将不用轮大夜班。
台积电
10纳米
2021-12-10 09:33
4923
台积电创新高
半导体行业观察:晶圆代工龙头台积电10 日公布2021 年11 月营收状况,合并营收金额为新台币1,482.68 亿元,较10 月增加10.2%,较2020 年同期增加18.7%,创历年同期新高,也是史上单月第三高营收。
晶圆代工
台积电
2021-12-11 10:09
4844
台积电又一座新工厂将敲定?
半导体行业观察:据报道,苹果芯片合作伙伴台积电正在寻求在德国设立新工厂,这家芯片代工厂正在与政府谈判建立另一家生产设施。
台积电
芯片
2021-12-13 09:32
4771
台积电十大客户出炉:华为掉出排名,AMD挤进前三
半导体行业观察:近日,台积电头部大客户榜单出炉。其中,华为海思掉出排名,AMD挤进前三。
AMD
台积电
2021-12-19 11:43
3446
台积电最新N4X工艺解读:在高压下具有极高性能
半导体行业观察:上周,台积电宣布了一种专为高性能计算 (HPC) 产品量身定制的新制造工艺N4X。
台积电
HPC
2021-12-22 10:55
2785
台积电这个客户,或对晶圆代工格局产生深远影响
半导体行业观察:半导体界的人们一直在猜测台积电和英特尔的交易。关于这笔交易也谣言四起,从英特尔试图让 AMD 失去产能,到英特尔夹着尾巴乞求台积电的产能,因为他们的新节点无法正常工作。
英特尔
台积电
2021-12-23 09:27
2420
日本半导体真的能靠台积电复兴吗?
半导体行业观察:2021年10月14日,日本首相岸田文雄在记者会上表示,「台积电计划在日本建立生产基地。这将增强日本半导体产出能力和自主性,并对经济安全作出重大贡献。同时我们将对此投资案提供一半以上的补助金额。」
日本
台积电
2021-12-24 09:15
3229
[原创] 台积电的第三代半导体布局
半导体行业观察:第三代半导体逐渐迎来爆发期,这就给相关代工带来了需求。虽然台积电方面认为,第三代半导体是个小市场。
台积电
第三代半导体
2021-12-26 09:55
原创
2927
台积电1nm工厂敲定,耗资惊人
半导体行业观察:台中市长卢秀燕昨(27)日透露,台积电已正式提出中科扩建厂计划,「相关规划案正在处理中」。据了解,台积电已锁定中科园区旁台中高尔夫球场用地,设厂面积近100公顷,总投资金额达8,000亿至1兆元。
高雄
台积电
2021-12-28 09:14
1866
[原创] 三星大战台积电
半导体行业观察:近些年,在晶圆代工(Foundry)市场,三星一直没有放缓追赶行业龙头台积电的脚步,然而,在市占率方面,三星仍然没有缩小与台积电的差距,后者依然在小幅、稳步提升着
三星
台积电
2022-01-02 13:09
原创
1604
台积电2.5D和3D路线图
半导体行业观察:在最近于旧金山举行的国际电子器件会议 (IEDM) 上,台积电进行了富有洞察力的演讲,分享了他们对封装路线图目标和挑战的愿景,以满足对更高芯片集成度、更高性能和更高互连带宽不断增长的需求。本文总结了演讲的重点。
芯片
台积电
2022-01-04 09:14
2272
台积电介绍3nm后的互连方案
半导体行业观察:高级节点的互连和通孔光刻的进一步缩放受到提供支持图案后关键尺寸变化和掩模重叠公差的工艺窗口的要求的挑战。
台积电
3nm
2022-01-06 09:27
1900
[原创] 台积电的幕后英雄
半导体行业观察:2022年,台积电持续扩建台南Fab 18厂3nm生产线,同时加快美国亚利桑那州12吋厂5nm、大陆南京12吋厂28nm等产能,包括日本熊本12吋厂、高雄12吋厂、竹科Fab 20厂2nm生产线等三项新投资亦会同时动工。
台积电
3nm
2022-01-08 09:42
原创
3505
台媒:数十芯片公司向台积电预付款抢产能
半导体行业观察:供应链传出,台积电(2330)产能持续抢手,超微、苹果、辉达、高通等数十家客户为确保后续出货无虞
台积电
芯片
2022-01-10 09:51
1741
[原创] 苹果对台积电的依赖更上一层楼
半导体行业观察:最近这些年,苹果一直在强化自研芯片。过去十年,苹果公司的芯片部门快速发展,已经成为一个拥有上千名工程师的团队,其中包括1999年收购的Raycer Graphics,以及2008 年收购 PA Semi所带来的数百名工程师。
台积电
苹果
2022-01-12 09:15
原创
2291
台积电今年资本支出大增,最高至440亿美元
半导体行业观察:作为全球最大的代工芯片制造商,台积电是当前半导体繁荣的最大受益者。该公司预计其销售额将在未来许多年继续增长,因此它计划将其今年资本支出 (CapEx) 提高 至33% 到46%
台积电
HPC
2022-01-14 09:21
2358
台积电董事长刘德音:今年晶圆代工仍会是很好的一年
半导体行业观察:董事长刘德音定调「今年仍会是好年」,他强调,晶圆代工产业持续成长趋势很清楚,台积电成长也会优于产业平均。
台积电
刘德音
2022-01-15 11:13
2147
2纳米之战
半导体行业观察:1月13日,台积电CEO魏哲家表示,2022年70%~80%的资本预算将用于2纳米、3纳米等先进工艺技术的研发。
3纳米
台积电
2022-01-17 09:27
2161
台积电为何能一路高歌猛进?
半导体行业观察:冠病疫情重创不少企业,但全球半导体巨擘台积电去年赚得盆满钵满。公司今年全面调涨晶圆代工价格,还准备投入高达440亿美元(593亿新元)经费扩大和升级产能,看来又要迎来大丰收。
台积电
晶圆代工
2022-01-18 09:50
6770
先进封装,英特尔在这个环节领先台积电
半导体行业观察:在本文里,我们将讨论热压粘合 (thermocompression bonding:TCB) 以及该领域的 3 家主要工具厂商 ASM Pacific、Kulicke 和 Soffa 以及 Besi。
英特尔
台积电
2022-01-19 09:56
1807
台积电魏哲家:28nm还是甜蜜节点,会持续投资成熟制程
半导体行业观察:过去,台积电大部分营收来自手机芯片,但在2021年第4季法说会上,台积电总裁魏哲家清楚指出,由5G和高性能运算带起的大趋势,将带动台积电营收高速成长。
台积电
魏哲家
2022-01-21 09:15
2018
台积电拿下CIS代工大单
半导体行业观察:全球影像感测器(CIS)龙头厂商Sony继与台积电将在日本合资建晶圆厂之后,近期也传出CIS敲定由台积电代工生产,台积电夺得Sony的CIS大单,可望挹注今年营收与获利。
台积电
CIS
2022-01-23 10:00
1672
台媒:台积电再建先进封装工厂
半导体行业观察:全球晶圆代工龙头台积电继2020年宣布赴美亚利桑那州投资5奈米晶圆厂,2021年还扩大投资大陆南京厂28纳米、日本22/28纳米晶圆厂与3D材料研究中心
28纳米
台积电
2022-01-25 09:28
2028
[原创] 台积电对产业链的影响力超乎想象
半导体行业观察:无论是上游的设备和材料,还是晶圆厂建设的配套政策、水电和房地产业,以及下游的客户等,台积电都体现出了异乎寻常的“统治力”。
晶圆厂
台积电
2022-01-26 09:55
原创
7556
超越腾讯,台积电跃升亚洲最高市值公司
半导体行业观察:得益于市场对半导体的需求不断增长,台湾积体电路制造股份有限公司(Taiwan Semiconductor Manufacturing Co., 2330.TW, TSM, 简称﹕台积电)已坐拥6,000亿美元市值
台积电
腾讯
2022-01-27 09:23
2315
韩媒:三星重估先进封装策略
半导体行业观察:台积电今年资本支出拉高至400至440亿美元除全力提升制程技术与产能外,台积电也积极布局先进封测领域,甚至传出有意前往嘉义设封测厂,力拼提供客户一条龙服务。
台积电
封测
2022-01-30 09:38
22553
半导体是否正在走向崩盘?
半导体行业观察:根据“未来视野” Malcolm Penn佩恩的说法,半导体崩盘可能即将来到。
EDA
台积电
2022-02-04 11:57
1799
美商务部长:美芯片供应对台积电的依赖到了危险程度
半导体行业观察:美国商务部长雷蒙多接受CNBC访问时表示,美国危险地依赖台积电,而台湾正处于一种「脆弱状态」。
芯片
台积电
2022-02-04 11:57
8991
台媒:三星4纳米陷关键困境
半导体行业观察:2030半导体之王梦碎?三星4纳米陷关键困境台积电不怕超车
三星
台积电
2022-02-05 11:51
1992
砷化镓届的台积电:稳懋凭何稳坐钓鱼台?
半导体行业观察:稳懋斥资850亿元挥军高雄,启动砷化镓产业最大规模扩厂,董事长陈进财直言:「稳懋已握通讯科技2大关键技术。」
台积电
砷化镓
2022-02-06 10:27
1878
大摩改口:非常看好台积电
半导体行业观察:美系外资摩根士丹利(Morgan Stanley)去年曾称对台积电的投资“死钱”,不过大摩如今改口认错,在7日发出长达64页的报告,调升台积电为“加码”评等,目标价调高至780元。
大摩
台积电
2022-02-09 09:18
1855
台媒:台积电将放大招,二线晶圆厂如临大敌
半导体行业观察:过去几年新冠疫情肆虐全球,引发严重晶片荒与供应链紧绷等改变半导体产业未来布局的问题,然而近期市场对于成熟制程供应过剩的担忧浮现,甚至传出晶圆代工龙头台积电已化被动为主动
台积电
晶圆厂
2022-02-15 09:41
2201
台积电宣布:209亿美金投向先进工艺,人均分红124万新台币
半导体行业观察:台积电董事会昨天核准209亿4417万美元资本预算,将用于建置及升级先进制程、成熟和特殊制程、先进封装等产能。
特殊制程
台积电
2022-02-16 09:49
2638
台积电市值超越英伟达,跃居全球最贵半导体公司
半导体行业观察:根据最新的市值显示,台积电现在市值已经高达6188亿美元,领先于英伟达的5910亿美元,这不但让他们跃居全球最值钱的半导体公司,也让他们跃居全球第八大公司。
英伟达
台积电
2022-02-21 09:25
3716
台积电3nm良率未达预期?三星问题更棘手!
半导体行业观察:一份引用半导体行业消息来源的报告表明,据报道,台积电在其 3nm 工艺良率方面存在困难。台湾DigiTimes表示,如果 3nm 良率问题继续存在,许多客户可能会延长 5nm 工艺节点的使用范围。
台积电
3nm
2022-02-22 08:59
3338
外媒:英伟达预付款90亿美元,锁定台积电5nm产能
半导体行业观察:据外媒hardwaretimes报道,NVIDIA 将于 2022 年 9 月推出其 RTX 40 系列显卡。
5nm
台积电
2022-02-22 08:59
3693